VNU-UET Repository: No conditions. Results ordered -Date Deposited.
2024-03-28T21:52:36Z
EPrints
http://eprints.uet.vnu.edu.vn/images/sitelogo.png
https://eprints.uet.vnu.edu.vn/eprints/
2023-02-10T07:29:11Z
2023-02-10T07:29:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4791
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4791
2023-02-10T07:29:11Z
Efficient Redundancy Allocation for Reliable Service Function Chains in Edge Computing
Ensuring the high reliability of service function chains (SFCs) in Edge Computing, in which several distributed edge servers are available, is a challenging issue. Previous studies on reliable SFCs ignore the impact of physical hardware failures when multiple virtual network functions (VNFs) are deployed on the same server, resulting in inaccurate reliability estimates. In this paper, we first propose an optimization model and approximation algorithm, considering both hardware and software reliability, to maximize the reliability of SFCs in each service demand. We then develop an algorithm to increase the reliability of SFCs to a given requirement. The evaluation results show that our algorithms achieve a near-optimal solution with a significant reduction in the computational time for finding the placement of redundant VNFs. We also observe that our proposed redundancy VNF allocation can efficiently save the backup cost to achieve a given SFC reliability requirement.
Thi-Thuy-Lien Nguyen
lien.nguyenthithuy@phenikaa-uni.edu.vn
Tuan-Minh Pham
minh.phamtuan@phenikaa-uni.edu.vn
Manh Linh Pham
linhmp@vnu.edu.vn
2022-10-26T21:59:46Z
2022-10-26T21:59:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4164
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4164
2022-10-26T21:59:46Z
Formation and Study of Nanostructured M-Monolayers and LS-Films of Triphenylcorrole
Thao T. Vu
Larissa A. Maiorova
Dmitrii B. Berezin
Oskar I. Koifman
2022-09-20T15:38:19Z
2022-09-20T15:38:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4772
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4772
2022-09-20T15:38:19Z
Modified Fluoroquinolones as Antimicrobial Compounds Targeting Chlamydia trachomatis
Thi Huyen Vu
Erika Adhel
Katarina Vielfort
Ngûyet-Thanh Ha Duong
Guillaume Anquetin
Katy Jeannot
Philippe Verbeke
Sofia Hjalmar
Åsa Gylfe
Nawal Serradji
2022-08-22T04:06:17Z
2022-08-22T04:06:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4773
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4773
2022-08-22T04:06:17Z
Vortex particle method with iterative Brinkman penalization for simulation of flow past sharp-shape bodies
This paper presents a Lagrangian vortex method combined with iterative Brinkman penalization for the simulation of incompressible flow past a complex geometry. In the proposed algorithm, particle and penalization domains are separately introduced. The particle domain is for the computation of particle convection and diffusion, while the penalization domain is the enforcement of the wall boundary conditions. In iterative Brinkman penalization, the no-slip boundary condition is enforced by applying penalization force in multiple times within each time step. This enables large time step size reducing computational cost and maintains the capability in handling complex geometries. The method is validated for benchmark problems such as an impulsively started flow past a circular cylinder, normal to a flat plate, and a symmetric airfoil at Reynolds numbers ranging from 550 to 1000. The vorticity and streamline contours, drag, and lift coefficients show a good agreement with those reported in literature.
Dung Duong Viet
duongdv@vnu.edu.vn
Zuhal Lavi Rizki
2022-08-22T04:06:13Z
2022-08-22T04:06:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4768
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4768
2022-08-22T04:06:13Z
Carbon Fibers from Cellulosic Precursor for Thermal Insulation:
An Insight Into the Effect of Stabilization and Carbonization
Conditions on the Synthesis
There is an increasing demand for lightweight composites reinforced with carbon fibers (CFs) that
possess exceptional thermal characteristics, especially at high-temperature conditions. The focus of this study
is primarily on the sequence of structural changes at the micro-nano level during the carbonization of cellulosic fibers collected from Northern Vietnam. The impact of various operational parameters in the carbonization process such as the heating temperature and the stabilization process also discussed. The chemical
structure, morphology, and thermal conductivity of cellulose-based fiber were investigated. This investigation revealed that prepared CF-3 using cellulosic fibers collected from Northern Vietnam through the optimized parameters can be a potentials material for making outer ring insulation in high-temperature furnace
environments.
Khanh.S.T Tran
transikhanh.2012@gmail.com
Nang Dinh Nguyen
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
Van Minh Nguyen
Govindan Suresh Kumar
Huynh Nguyen
2022-08-22T04:06:08Z
2022-08-22T04:06:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4765
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4765
2022-08-22T04:06:08Z
Automatic scan range for dose-reduced multiphase CT imaging of the liver utilizing CNNs and Gaussian models
Multiphase CT scanning of the liver is performed for several clinical applications; however, radiation exposure from CT scanning poses a nontrivial cancer risk to the patients. The radiation dose may be reduced by determining the scan range of the subsequent scans by the location of the target of interest in the first scan phase. The purpose of this study is to present and assess an automatic method for determining the scan range for multiphase CT scans. Our strategy is to first apply a CNN-based method for detecting the liver in 2D slices, and to use a liver range search algorithm for detecting the liver range in the scout volume. The target liver scan range for subsequent scans can be obtained by adding safety margins achieved from Gaussian liver motion models to the scan range determined from the scout. Experiments were performed on 657 multiphase CT volumes obtained from multiple hospitals. The experiment shows that the proposed liver detection method can detect the liver in 223 out of a total of 224 3D volumes on average within one second, with mean intersection of union, wall distance and centroid distance of 85.5%, 5.7 mm and 9.7 mm, respectively. In addition, the performance of the proposed liver detection method is comparable to the best of the state-of-the-art 3D liver detectors in the liver detection accuracy while it requires less processing time. Furthermore, we apply the liver scan range generation method on the liver CT images acquired from radiofrequency ablation and Y-90 transarterial radioembolization (selective internal radiation therapy) interventions of 46 patients from two hospitals. The result shows that the automatic scan range generation can significantly reduce the effective radiation dose by an average of 14.5% (2.56 mSv) compared to manual performance by the radiographer from Y-90 transarterial radioembolization, while no statistically significant difference in performance was found with the CT images from intra RFA intervention ( = 0.81). Finally, three radiologists assess both the original and the range-reduced images for evaluating the effect of the range reduction method on their clinical decisions. We conclude that the automatic liver scan range generation method is able to reduce excess radiation compared to the manual performance with a high accuracy and without penalizing the clinical decision.
Manh Ha Luu
halm@vnu.edu.vn
Theo van Walsum
Hong Son Mai
Daniel Franklin
Thi Thu Thao Nguyen
Thi My Le
Adraan Moelker
Van Khang Le
Dang Luu Vu
Ngoc Ha Le
Quoc Long Tran
tqlong@vnu.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2022-08-22T03:59:25Z
2022-08-22T03:59:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4714
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4714
2022-08-22T03:59:25Z
Modified Savonius Wind Turbine for Wind Energy Harvesting in Urban Environments
Anh Dinh Le
anh.ld@vnu.edu.vn
Duc Minh Banh
Hoang Van Tam
Tran The Hung
2022-08-22T03:57:57Z
2022-08-22T03:57:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4750
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4750
2022-08-22T03:57:57Z
Machine Learning and Remote Sensing Application for Extreme Climate Evaluation: Example of Flood Susceptibility in the Hue Province, Central Vietnam Region
Floods are the most frequent natural hazard globally and incidences have been increasing in recent years as a result of human activity and global warming, making significant impacts on people’s livelihoods and wider socio-economic activities. In terms of the management of the environment and water resources, precise identification is required of areas susceptible to flooding to support planners in implementing effective prevention strategies. The objective of this study is to develop a novel hybrid approach based on Bald Eagle Search (BES), Support Vector Machine (SVM), Random Forest (RF), Bagging (BA) and Multi-Layer Perceptron (MLP) to generate a flood susceptibility map in Thua Thien Hue province, Vietnam. In total, 1621 flood points and 14 predictor variables were used in this study. These data were divided into 60 for model training, 20 for model validation and 20 for testing. In addition, various statistical indices were used to evaluate the performance of the model, such as Root Mean Square Error (RMSE), Receiver Operation Characteristics (ROC), and Mean Absolute Error (MAE). The results show that BES, for the first time, successfully improved the performance of individual models in building a flood susceptibility map in Thua Thien Hue, Vietnam, namely SVM, RF, BA and MLP, with high accuracy (AUC > 0.9). Among the models proposed, BA-BES was most effective with AUC = 0.998, followed by RF-BES (AUC = 0.998), MLP-BES (AUC = 0.998), and SVM-BES (AUC = 0.99). The findings of this research can support the decisions of local and regional authorities in Vietnam and other countries regarding the construction of appropriate strategies to reduce damage to property and human life, particularly in the context of climate change.
Minh Cuong Ha
Phuong Lan Vu
Huu Duy Nguyen
Tich Phuc Hoang
Dinh Duc Dang
Thi Bao Hoa Dinh
Gheorghe Şerban
Ioan Rus
Petre Brețcan
2022-08-22T03:57:22Z
2022-08-22T03:57:22Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4743
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4743
2022-08-22T03:57:22Z
Multi-level just-enough elasticity for MQTT brokers of Internet of Things applications
Applications for the Internet of Things (IoT) are rapidly having an impact on all areas of daily life. Every day, its embedded devices generate loads of data that requires efficient network infrastructure. The integration of lightweight communication protocols such as Message Queuing Telemetry Transport (MQTT) is to send millions of IoT messages back and forth with as few errors as possible. In practice, IoT big data analytic systems are often deployed with highly regarded MQTT solutions to handle huge amounts of dynamic data and achieve scalability. However, these solutions do not adapt well to fluctuations in workload, so they are not elastic yet. This article introduces a novel framework that provides just-enough elasticity for MQTT brokers with multiple levels of virtualization and its implementation using EMQX MQTT broker, Kubernetes container-orchestration system and OpenStack cloud environment. Various experiments based on a real life IoT application are conducted to validate our proposed framework and its elastic functionality.
Manh Linh Pham
linhmp@vnu.edu.vn
Nguyen Tuan Thanh Le
thanhlnt@tlu.edu.vn
Xuan Truong Nguyen
nguyenxuantruong@hpu2.edu.vn
2022-08-22T03:57:06Z
2022-08-22T03:57:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4737
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4737
2022-08-22T03:57:06Z
Genome-Wide Identification and Analysis of Genes Encoding
Putative Heat Shock Protein 70 in Papaya (Carica papaya)
Background and Objective: In high plants, the 70 kDa heat stress proteins (Hsp70-s) have been regarded as one of the vital components
of the cellular network of chaperones and folding catalysts that play important roles in numerous biological processes during growth and
development. The Hsp70 families have been reported in many plant species, unfortunately, no information on this important protein
family in papaya (Carica papaya). The objective of this study was to provide comprehensive information on the CpHsp70 family in papaya.
Materials and Methods: The CpHsp70 genes in the papaya genome were identified by a basic local alignment search tool against the
papaya genome database by using well-known Arabidopsis Hsp70-s. Sequences were then analyzed by various bioinformatics tools to
investigate the characteristics of the CpHsp70 family. Results: A total of 12 members of the CpHsp70 family has been identified and
characterized in papaya. By using various computational tools, these results revealed that all general characteristics of the CpHsp70 family,
like physic-chemical parameters, gene structure, phylogenetic tree and subcellular localization were provided. The transcriptome atlas
was applied to re-analyze the expression patterns of genes encoding the CpHsp70 family in major tissues/organs during the growth and
development of papaya plants. Conclusion: Results from this work exhibited the characteristics and expression analysis of the CpHsp70
genes of this important tropical fruit crop. Taken together, this study could provide a solid foundation of the CpHsp70 family, which will
be helpful in the construction of stress tolerance in papaya plants
Thi Man Le
Thi Thanh Huyen Tran
Xuan Quyen Vu
Duc Ha Chu
cd.ha@vnu.edu.vn
Chau Thuy Pham
Thi Ngoc Quynh Le
Viet Hong La
laviethong.sp2@gmail.com
Phi Bang Cao
2022-08-19T05:36:10Z
2022-08-19T05:36:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4733
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4733
2022-08-19T05:36:10Z
Low-Reynolds-number wake of three tandem elliptic cylinders
The flow around three elliptic cylinders with equal spacing and aspect ratio in tandem arrangements was numerically investigated through direct numerical simulation. The spacing ratio (L/D, where D and L are the major axis and the center-to-center distance of two adjacent elliptic cylinders, respectively) ranging from 1.5 to 10 and the Reynolds numbers of
Duong Viet Dung
duongdv@vnu.edu.vn
Nguyen Van Duc
Nguyen Van Tien
Ngo Ich Long
2022-08-19T05:35:51Z
2022-08-19T05:35:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4731
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4731
2022-08-19T05:35:51Z
SWEET Gene Family in Sugar Beet (Beta vulgaris): Genome-Wide
Survey, Phylogeny and Expression Analysis
Background and Objective: The SWEET (Sugars Will Eventually be Exported Transporter) proteins play important roles in modulating
the growth and development processes in plants. However, little information is available on the SWEET family in sugar beet
(Beta vulgaris). The objectives of this present study were to genome-wide identify and characterize the BvSWEET family in sugar beet.
Materials and Methods: Based on the available genome, proteome and transcriptome databases of sugar beet, various computational
tools have been used to analyze the nucleotide and full-length protein sequences of members of the BvSWEET family. Results: A total
of 16 members of the BvSWEET family has been identified in sugar beet at the genome-wide scale. Structural analysis indicated that the
BvSWEET family exhibited variable characteristics. Furthermore, the BvSWEET family in sugar beet could be categorized into four distinct
groups like in other plant species. Of our interest, we found that some BvSWEET genes exhibited strongly preferential expression in major
organs/tissues under adverse environmental stimuli. Conclusion: The results provided a comprehensive foundation for further functional
characterization of the BvSWEET gene family.
Viet Hong La
laviethong.sp2@gmail.com
Duc Ha Chu
cd.ha@vnu.edu.vn
Thi Quyen Ha
quyenht@vnu.edu.vn
Thi Thanh Huyen Tran
Van Hai Tong
Van Tien Tran
Thi Ngoc Quynh Le
Thi Thu Huong Bui
Phi Bang Cao
2022-08-19T05:35:42Z
2022-08-19T05:35:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4729
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4729
2022-08-19T05:35:42Z
Design of a low-cost pressure measurement device: validation and testing
Tran The Hung
Nguyen Dung
Anh Dinh Le
anh.ld@vnu.edu.vn
2022-03-21T00:46:35Z
2022-03-21T00:46:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4711
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4711
2022-03-21T00:46:35Z
Misspecified Cramer–Rao Bounds for Blind Channel Estimation Under Channel Order Misspecification
In estimation, the misspecified Cramer–Rao bound (MCRB), which is an extension of the well-known Cramer–Rao bound (CRB) when the underlying system model is misspecified, has recently attracted much attention. In this paper, we introduce a new interpretation of the MCRB, called the generalized MCRB (GMCRB), via the Moore–Penrose inverse operator. This bound is useful for singular problems and particularly blind channel estimation problems in which the Hessian matrix is noninvertible. Two closed-form expressions of the GMCRB are derived for unbiased blind estimators when the channel order is misspecified. The first bound deals with deterministic models where both the channel and unknown symbols are deterministic. The second one is devoted to stochastic models where we assume that transmitted symbols are unknown random variables i.i.d. drawn from a Gaussian distribution. Two case studies of channel order misspecification are investigated to demonstrate the effectiveness of the proposed GMCRBs over the classical CRBs. When the channel order is known or accurately estimated, both generalized bounds reduce to the classical bounds. Besides, the stochastic GMCRB is lower than the deterministic one, especially at high SNR.
Trung Thanh Le
letrungthanhtbt@gmail.com
Abed Meraim Karim
karim.abed-meraim@univ-orleans.fr
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2022-03-21T00:32:31Z
2022-03-21T00:32:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4715
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4715
2022-03-21T00:32:31Z
Assessment of Flow Fluctuation Pressure Models for Simulating the Cavitating Flow
Anh Dinh Le
anh.ld@vnu.edu.vn
Linh Ngoc Le
Anh Viet Truong
Hung The Tran
2022-03-21T00:32:15Z
2022-03-21T00:32:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4713
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4713
2022-03-21T00:32:15Z
Insights into the gene and protein structures of the CaSWEET family members in chickpea (Cicer arietinum), and their gene expression patterns in different organs under various stress and abscisic acid treatments
‘Sugars Will Eventually be Exported Transporters’ (SWEETs) are a group of sugar transporters that play crucial roles in various biological processes, particularly plant stress responses. However, no information is available yet for the CaSWEET family in chickpea. Here, we identified all putative CaSWEET members in chickpea, and obtained their major characteristics, including physicochemical patterns, chromosomal distribution, subcellular localization, gene organization, conserved motifs and three-dimensional protein structures. Subsequently, we explored available transcriptome data to compare spatiotemporal transcript abundance of CaSWEET genes in various major organs. Finally, we studied the changes in their transcript levels in leaves and/or roots following dehydration and exogenous abscisic acid treatments using RT-qPCR to obtain valuable information underlying their potential roles in chickpea responses to water-stress conditions. Our results provide the first insights into the characteristics of the CaSWEET family members and a foundation for further functional characterizations of selected candidate genes for genetic engineering of chickpea.
Viet Hong La
laviethong.sp2@gmail.com
Duc Ha Chu
cd.ha@vnu.edu.vn
Duy Cuong Tran
Huu Nguyen Kien
Thi Ngoc Quynh Le
Thi Hien Le
lehien@vnu.edu.vn
Phi Bang Cao
Duc Bach Nguyen
Quoc Trung Nguyen
Huy Hàm Lê
lhham@agi.ac.vn
Phan Lam Son Tran
Van Loc Nguyen
nvloc@vnua.edu.vn
Cong Tuyen Anh Pham
Van Chien Ha
Minh Chinh Hoang
Duc Thao Le
2021-12-13T03:53:43Z
2021-12-13T03:53:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4633
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4633
2021-12-13T03:53:43Z
Magnetic and electrical properties of Ni‑doped Ba(Ti0.8Zr0.2)O3
materials
In this work, Ni-doped lead-free ferroelectric Ba(Ti0.8Zr0.2)O3 materials were well synthesized by a simple chemical route. The complex magnetic behavior of the materials was explained by the random distribution of Ni cations into the Ba(Ti0.8Zr0.2)O3 host lattice. As increasing the Ni concentration to 9 mol%, nonlinear electric polarization behavior remained unchanged in the Ba(Ti0.8Zr0.2)O3 materials. The observations in nonlinear magnetization and electric polarization in Ni-doped Ba(Ti0.8Zr0.2)O3 materials suggested an extension of new material functions to the development of advanced materials for electronic devices.
Dang Co Nguyen
cond@vnu.edu.vn
2021-12-10T10:55:34Z
2021-12-10T10:55:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4632
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4632
2021-12-10T10:55:34Z
Study of Thermodynamic Effect on the Mechanism of Flashing Flow under Pressurized Hot Water by a Homogeneous Model
Anh Dinh Le
anh.ld@vnu.edu.vn
2021-12-10T01:12:16Z
2021-12-10T01:12:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4645
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4645
2021-12-10T01:12:16Z
A Proactive Method of the Webshell Detection and Prevention based on Deep Traffic Analysis
The popularity of today's web application has led to web servers are frequently objects to injecting webshell attacks. In this paper, we propose a new deep inspection method, namely DLWD, to detect in real-time and proactively prevent webshell attacks. DLWSD is composed of both signature-based and DNN deep learning-based detection. Moreover, to avoid bottlenecks, DLWSD built-in DeepInspector inspects in real-time the large-scale traffic flows with a strategy of periodic sampling at a defined frequency and interval for only flows that do not satisfy any signature. DeepInspector can create/update rules from webshell attacking alert results to prevent in future. We also proposed a mechanism using the cross-entropy loss function to regulate the training imbalanced dataset. Our experiments allow validating the performance of DLWSD using a popular dataset CSE-CIC-IDS2018 with the metrics (Accuracy, F1-score, FPR) of (99.99%, 99.98%, 0.01%) respectively. It is also better compared with other studies using the same dataset.
Viet Ha Le
levietha@chinhphu.vn
Phuong Hanh Du
hanhdp@vnu.edu.vn
Ngoc Cuong Nguyen
cuongnn.hvan@gmail.com
Ngoc Hoa Nguyen
hoa.nguyen@vnu.edu.vn
Viet Long Hoang
longhv08@gmail.com
2021-11-27T02:35:03Z
2021-11-27T02:35:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4631
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4631
2021-11-27T02:35:03Z
Improvement of Mass Transfer Rate Modeling for Prediction of Cavitating Flow
Anh Dinh Le
anh.ld@vnu.edu.vn
Tran The Hung
2021-11-27T02:34:37Z
2021-11-27T02:34:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4630
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4630
2021-11-27T02:34:37Z
Experimental study of the skin-friction topology around the Ahmed body in cross-wind condition
Tran The Hung
Anyoji Masayuki
Nakashima Takuji
Shimizu Keigo
Anh Dinh Le
anh.ld@vnu.edu.vn
2021-11-27T02:33:03Z
2021-11-27T02:33:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4654
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4654
2021-11-27T02:33:03Z
MigSpike: A Migration Based Algorithms and Architecture for Scalable Robust Neuromorphic Systems
While conventional hardware neuromorphic systems usually consist of multiple clusters of neurons that communicate via an interconnect infrastructure, scaling up them confronts the reliability issue when faults in the neuron circuits and synaptic weight memories can cause faulty outputs. This work presents a method named MigSpike that allows placing spare neurons for repairing with the support of enhanced migrating methods and the built-in hardware architecture for migrating neurons between nodes (clusters of neurons). MigSpike architecture supports migrating the unmapped neurons from their nodes to suitable ones within the system by
creating chains of migrations. Furthermore, a max-flow min-cut adaptation and a genetic algorithm approach are presented to solve the aforementioned problem. The evaluation results show that the proposed methods support recovery up to 100% of spare neurons. While the max-flow min-cut adaption can execute milliseconds, the genetic algorithm can help reduce the migration cost with a graceful degradation on communication cost. With a system of 256 neurons per node and a 20% fault rate, our approach minimizes the migration cost from remapping by 10.19× and 96.13× under Networks-on-Chip of 4×4 (smallest) and 16×16×16 (largest), respectively. The Mean-Time-to-Failure evaluation also shows an approximate 10× of lifetime expectancy by having a 20% spare rate.
Nam Khanh Dang
dnk0904@gmail.com
Nguyen Anh Vu Doan
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
2021-11-27T02:28:54Z
2021-11-27T02:28:54Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4636
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4636
2021-11-27T02:28:54Z
The effects of substrates on growth and green coverage of Blue daze (Evolvulus glomeratus) under rooftop condition
Establishment of the green space in urban environment has been regarded as one of the major strategies for the development
of green cities. In this study, we provided experimental evidence for the uses of biochar-based substrates for the growth and
development of blue daze (Evolvulus glomeratus) plants under the rooftop condition. We frstly analyzed the physical features
of four common substrates, including 100% soil, soil+rice hull+coconut fber (2:1:1), soil+coconut fber+rice hull+coal
slag (1:1:1:1) and soil+coconut fber+rice hull+coal slag (1:2:2:1) to gain an advantages under the rooftop condition.
Subsequently, the evaluation of the growth and development of the blue daze (Evolvulus glomeratus) in four formulas under
the rooftop condition was investigated. Among them, the use of soil+coconut fber+rice hull+coal slag (1:1:1:1) exhibited
the highest values of growth dynamics and green coverage. Additionally, the efects of three thicknesses (5, 8, and 12 cm)
of a selected formula were tested under the rooftop condition. The results revealed that the surface area of green coverage
exhibited the highest value, by 1964.13 cm2
at 90 days after planting in a depth layer of 8 cm. Taken together, our study could
provide a solid foundation for further cultivation of blue daze plants under the rooftop condition.
Anh Duc Nguyen
Ha Duc Chu
Van Loc Nguyen
2021-11-16T04:37:59Z
2021-11-16T04:37:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4647
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4647
2021-11-16T04:37:59Z
Circall: fast and accurate methodology for discovery of circular RNAs from paired-end RNA-sequencing data
Dat Thanh Nguyen
Quang Thinh Trac
Thi-Hau Nguyen
haunt@vnu.edu.vn
Ha-Nam Nguyen
Nir Ohad
Yudi Pawitan
Trung Nghia Vu
2021-11-16T04:36:51Z
2021-11-16T04:36:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4644
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4644
2021-11-16T04:36:51Z
An Efficient Hybrid Webshell Detection Method for Webserver of Marine Transportation Systems
An increase in the number of Maritime Intelligent Transport Systems (MITSs) also means an increase in the number of information security risks. Usually, the administration and operation of MITSs are done through web servers that are frequently targeted by hackers. In marine transportation industry, malicious code injection attacks (webshell) has been widely exploited by hackers to take full control of Web servers. Traditional webshell detection methods based on pattern matching that are no longer effective against new types of webshell. This motivates us to investigate the problem of detecting obfuscation or unknown webshells, termed OUW problem. In this work, we propose a pattern-matching-deep-learning hybrid ASP.NET webshell detection method (H-DLPMWD) to address the OUW problem. H-DLPMWD is based on Yara-based pattern matching to clean dataset; modeling ASP.NET code files as an operation code index (OCI) vectors; and applying CNN method to train and predict webshell in OCI vectors. To validate H-DLPMWD, our rigorous experimentation demonstrates that H-DLPMWD achieves an excellent accuracy of 98.49%, F1-score of 99.01%, and a low false positive rate of 1.75%.
Viet Ha Le
levietha@chinhphu.vn
Ngoc Tu Nguyen
tu.nguyen@kennesaw.edu
Ngoc Hoa Nguyen
hoa.nguyen@vnu.edu.vn
Linh Le
lle13@kennesaw.edu
2021-10-31T00:45:47Z
2021-10-31T00:45:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4559
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4559
2021-10-31T00:45:47Z
Study of Thermodynamic Effect on the Mechanism of Flashing Flow under Pressurized Hot Water by a Homogeneous Model
The flashing flow in a Moby_Dick converging-diverging nozzle under pressurized hot water from 460.5 K to 483.5 K is simulated using a homogeneous compressible water-vapor two-phase flow model. The kinematic and thermodynamic mass transfer are accessed using the cavitation model based on the Hertz-Knudsen-Langmuir equation. Our simplified thermodynamic model is coupled with the governing equations to capture the phase-change heat transfer. This numerical method proved its reliability through a comparison with available experimental data of flow parameters inside the nozzle. Consequently, the present numerical method shows good potential for simulating the flashing flow under pressurized hot water conditions. The satisfying prediction of averaged flow parameters with a slight improvement compared to reference numerical data is reproduced. The results confirm a noticeable impact of the thermodynamic effect on the mechanism of flashing flow, resulting in a considerable decrease in the flow temperature and the saturated vapor pressure. The flashing non-equilibrium is significantly decreased, forcing the flashing flow to be classified as the usual cavitation behavior and better suited to homogeneous model. While the temperature drop is highly dependent on evaporation, the thermodynamic suppression is influenced by the condensation. The suppression effect, unobserved in water at a lower temperature in previous studies, is noticeable for the pressurized hot water flow characterized by the cavitation mechanism. The vapor void fraction decreased considerably in the radial and axial directions as the water temperature rose to 483.5 K in this study.
Anh Dinh Le
anh.ld@vnu.edu.vn
2021-10-31T00:44:38Z
2021-10-31T00:44:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4622
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4622
2021-10-31T00:44:38Z
Iterative Learning Sliding Mode Control for UAV Trajectory Tracking
This paper presents a novel iterative learning sliding mode controller (ILSMC) that can be applied to the trajectory tracking of quadrotor unmanned aerial vehicles (UAVs) subject to model uncertainties and external disturbances. Here, the proposed ILSMC is integrated in the outer loop of a controlled system. The control development, conducted in the discrete-time domain, does not require a priori information of the disturbance bound as with conventional SMC techniques. It only involves an equivalent control term for the desired dynamics in the closed loop and an iterative learning term to drive the system state toward the sliding surface to maintain robust performance. By learning from previous iterations, the ILSMC can yield very accurate tracking performance when a sliding mode is induced without control chattering. The design is then applied to the attitude control of a 3DR Solo UAV with a built-in PID controller. The simulation results and experimental validation with real-time data demonstrate the advantages of the proposed control scheme over existing techniques.
Van Lanh Nguyen
Manh Duong Phung
duongpm@vnu.edu.vn
Ha Quang
quang.ha@uts.edu.au
2021-10-31T00:44:02Z
2021-10-31T00:44:02Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4627
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4627
2021-10-31T00:44:02Z
Improvement of Mass Transfer Rate Modeling for Prediction of Cavitating Flow
Anh Dinh Le
anh.ld@vnu.edu.vn
Hung The Tran
2021-10-31T00:43:14Z
2021-10-31T00:43:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4624
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4624
2021-10-31T00:43:14Z
Fuzzy-Based Distributed Behavioral Control With Wall-Following Strategy for Swarm Navigation in Arbitrary-Shaped Environments
Truong Nhu
Pham Duy Hung
Van Anh Ho
Trung Dung Ngo
2021-10-31T00:42:10Z
2021-10-31T00:42:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4611
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4611
2021-10-31T00:42:10Z
Characteristics of the flow around four cylinders of various shapes
Nguyen Van Luc
nguyenvanluc@tdtu.edu.vn
Trung Nguyen-Thoi
Duong Viet Dung
duongdv@vnu.edu.vn
2021-10-31T00:41:45Z
2021-10-31T00:41:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4599
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4599
2021-10-31T00:41:45Z
Experimental study of the skin-friction topology around the Ahmed body in cross-wind condition
Tran The Hung
Anyoji Masayuki
Nakashima Takuji
Shimizu Keigo
Anh Dinh Le
anh.ld@vnu.edu.vn
2021-10-31T00:40:05Z
2021-10-31T00:40:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3621
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3621
2021-10-31T00:40:05Z
Numerical simulation study of cavitation in liquefied hydrogen
Anh Dinh Le
anh.ld@vnu.edu.vn
Junnosuke Okajima
Yuka Iga
2021-10-15T02:48:25Z
2021-10-15T02:48:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4619
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4619
2021-10-15T02:48:25Z
A Variability Fault Localization Approach for Software Product Lines
Software fault localization is one of the most expensive, tedious, and time-consuming activities in program debugging. This activity becomes even much more challenging in Software Product Line (SPL) systems due to variability of failures. These unexpected behaviors are induced by variability faults which can only be exposed under some combinations of system features. The interaction among these features causes the failures of the system. Although localizing bugs in single-system engineering has been studied in-depth, variability fault localization in SPL systems still remains mostly unexplored. In this article, we present VarCop, a novel and effective variability fault localization approach. For an SPL system failed by variability bugs, VarCop isolates suspicious code statements by analyzing the overall test results of the sampled products and their source code. The isolated suspicious statements are the statements related to the interaction among the features which are necessary for the visibility of the bugs in the system. In VarCop, the suspiciousness of each isolated statement is assessed based on both the overall test results of the products containing the statement as well as the detailed results of the test cases executed by the statement in these products. On a large public dataset of buggy SPL systems, our empirical evaluation shows that VarCop significantly improves two state-of-the-art fault localization techniques by 33% and 50% in ranking the incorrect statements in the systems containing a single bug each. In about two-thirds of the cases, VarCop correctly ranks the buggy statements at the top-3 positions in the resulting lists. Moreover, for the cases containing multiple bugs, VarCop outperforms the state-of-the-art approaches 2 times and 10 times in the proportion of bugs localized at the top-1 positions. Especially, in 22% and 65% of the buggy versions, VarCop correctly ranks at least one bug in a system at the top-1 and top-5 positions.
Thu Trang Nguyen
trang.nguyen@vnu.edu.vn
Kien Tuan Ngo
Van Son Nguyen
sonnguyen@vnu.edu.vn
Dinh Hieu Vo
hieuvd@vnu.edu.vn
2021-10-15T02:47:39Z
2021-10-15T02:47:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4617
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4617
2021-10-15T02:47:39Z
Towards a Framework for High-Performance Simulation of Livestock Disease Outbreak: A Case Study of Spread of African Swine Fever in Vietnam
The spread of disease in livestock is an important research topic of veterinary epidemiology because it provides warnings or advice to organizations responsible for the protection of animal health in particular and public health in general. Disease transmission simulation programs are often deployed with different species, disease types, or epidemiological models, and each research team manages its own set of parameters relevant to their target diseases and concerns, resulting in limited cooperation and reuse of research results. Furthermore, these simulation and decision support tools often require a large amount of computational power, especially for models involving tens of thousands of herds with millions of individuals spread over a large geographical area such as a region or a country. It is a matter of fact that epidemic simulation programs are often heterogeneous, but they often share some common workflows including processing of input data and execution of simulation, as well as storage, analysis, and visualization of results. In this article, we propose a novel architectural framework for simultaneously deploying any epidemic simulation program both on premises and on the cloud to improve performance and scalability. We also conduct some experiments to evaluate the proposed architectural framework on some aspects when applying it to simulate the spread of African swine fever in Vietnam.
Manh Linh Pham
linhmp@vnu.edu.vn
Nikos Parlavantzas
nikos.parlavantzas@irisa.fr
Huy Hàm Lê
lhham@agi.ac.vn
Quang Hung Bui
hungbq@vnu.edu.vn
2021-09-15T02:50:14Z
2021-09-15T02:50:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4605
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4605
2021-09-15T02:50:14Z
Towards an Elastic Fog Computing Framework for IoT Big Data Analytics Applications
IoT applications have been being moved to the cloud during the last decade in order to reduce operating costs and provide more scalable services to users. However, IoT latency-sensitive big data streaming systems (e.g., smart home application) is not suitable with the cloud and needs another model to fit in. Fog computing, aiming at bringing computation, communication, and storage resources from “cloud to ground” closest to smart end-devices, seems to be a complementary appropriate proposal for such type of application. Although there are various research efforts and solutions for deploying and conducting elasticity of IoT big data analytics applications on the cloud, similar work on fog computing is not many. This article firstly introduces AutoFog, a fog-computing framework, which provides holistic deployment and an elasticity solution for fog-based IoT big data analytics applications including a novel mechanism for elasticity provision. Secondly, the article also points out requirements that a framework of IoT big data analytics application on fog environment should support. Finally, through a realistic smart home use case, extensive experiments were conducted to validate typical aspects of our proposed framework.
Manh Linh Pham
linhmp@vnu.edu.vn
Truong Thang Nguyen
ntthang@ioit.ac.vn
Tien Quang Hoang
hoangtienquang@hpu2.edu.vn
2021-08-11T01:44:07Z
2021-08-11T01:44:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4598
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4598
2021-08-11T01:44:07Z
Turbulent energy cascade associated with viscous reconnection of two vortex rings
Collision of two vortex rings (VR) initially arranged in axis-offset and orthogonal configurations at Reynolds numbers (ReΓ ) in a range 5000−200 000 was simulated to investigate turbulent energy cascade associated with their reconnection. Two elliptical VRs are generated by joining each part of the first VR with another part of the second VR for the axis-offset collision. While two VRs associate to form a double U-shaped vortex, and this vortex reconnects itself at two points to form three elliptical VRs linked by the vortex filaments for the orthogonal collision. Many vortex structures in various scales and shapes, including small-scale VRs and horseshoe vortices, are observed in connection regions for both cases. As ReΓ increasing, the energy of formed small vortices raises and their wavenumber (k) range enlarges. The flow energy spectrum approaches a k−5/3 slope of the Kolmogorov hypotheses at low wavenumbers. For the axis-offset collision, the energy spectrum at medium wavenumbers continuously changes from k−3.0 at ReΓ = 5000 to k−1.8 at ReΓ =200 000, and the exponent (α) of the wavenumber is determined by a function as α = 0.3304ln(ReΓ ) − 5.6538. Meanwhile, the energy spectrum at two medium-wavenumber subranges for the orthogonal collision with ReΓ ≥ 20 000 approaches slopes of k−3.0 and k−2.6. Turbulent mixing performance due to the axis-offset collision of two vortex rings is better than that with the orthogonal one.
Nguyen Van Luc
Phan Toai Tuyn
Duong Viet Dung
duongdv@vnu.edu.vn
Le Nam Tuan Phuong
2021-08-11T01:40:59Z
2021-08-11T01:43:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4589
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4589
2021-08-11T01:40:59Z
Fractional Frequency Reuse in Ultra Dense Networks
Ultra Dense Network (UDN) in which Base Stations (BSs) are deployed at an ultra high density is a promising network model of the future wireless generation. Due to ultra densification, reuse of frequency bands with an ultra high density is compulsory for this network. Conventionally, the research on frequency reuse technique such as Fractional Frequency Reuse (FFR) classifies the active users into only two groups. However, this approach is not suitable for UDNs where the signal experiences a huge amount of power loss over distances. Thus, this paper proposes a generalized model of FFR for UDNs where the active users are classified into more than two groups The paper introduces a simple approach to obtain the coverage probability of a typical user in the case of a general path loss model. In the case of stretched path loss model for UDNs, the closed-form expression of user coverage probability is derived. From the analytical and simulation results, it is stated that the proposed model can improve user performance without increasing BS power consumption. Furthermore, two additional interesting conclusions are found in this paper: (i) the user coverage probability increases to a peak before passing a decline when the density of BSs increases; (ii) an increase in BS transmission power may decrease the user performance.
Sinh Cong Lam
congls@vnu.edu.vn
Xuan Nam Tran
2021-08-11T01:40:39Z
2021-08-11T01:57:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4571
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4571
2021-08-11T01:40:39Z
A course recommendation model for students based on learning outcome
How to choose the most appropriate courses to study throughout the learning process remains a question interested in by many students. Students often choose suitable courses according to their interests, needs, and advice from supporting staff, etc. This paper presents the results in developing a course recommendation system that will select appropriate courses for each student studying a major in the following semesters based on his/her current academic performance. We have applied several techniques based on data mining and learning analytics to predict students’ learning outcomes in the next semester and developed a model to select the appropriate courses based on such a recommendation system. Besides, our study has focused on comparing the effectiveness of predictive learning methods based on collaborative filtering. Experiments analyzed the learning results of 510 students who enrolled in the courses from 2015 to 2019 and showed that the Matrix Factorization method is the most effective. Also, the paper has proposed procedures and constraints applicable to different training curricula.
Viet Anh Nguyen
vietanh@vnu.edu.vn
Hoa-Huy Nguyen
huynguyen@vnu.eduvn
Duc-Loc Nguyen
17020871@vnu.edu.vn
Minh-Duc Le
duclm@vnu.edu.vn
2021-07-15T02:04:40Z
2021-07-15T02:04:40Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4565
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4565
2021-07-15T02:04:40Z
Simulation-based invariant verification technique for the OTS/CafeOBJ method
We demonstrate the power of the simulation-based invariant verification technique through two case studies in which it is formally verified that two mutual exclusion protocols, MCS protocol and Anderson protocol, enjoy the mutual exclusion property by the simulation-based invariant verification technique. We initially attempted formally verifying that the two protocols enjoy the property by the induction-based invariant verification technique. We successfully completed the formal proof for MCS protocol by the simulation-based invariant verification technique earlier than the one by the induction- based invariant verification technique even though we started the latter earlier than the former. We did not successfully complete the formal proof for Anderson protocol by the induction-based invariant verification technique as of the time of this paper submission. We define a variant of simulation, "observably equivalent simulations," and prove some theorems on them to conduct the MCS case study. Given a state machine M, another state machine M' constructed by introducing auxiliary variables into M observably equivalently simulates M. In this sense, M' can be regarded as an abstract version of M.
Duong Tran Dinh
duongtd@vnu.edu.vn
Dang Bui Duy
bddang@jaist.ac.jp
Kazuhiro Ogata
ogata@jaist.ac.jp
2021-07-12T10:19:10Z
2021-07-12T10:19:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4567
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4567
2021-07-12T10:19:10Z
The min-cost parallel drone scheduling vehicle routing problem
Adopting unmanned aerial vehicles (UAV), also known as drones, into the last-mile-delivery
sector and having them work alongside trucks with the aim of improving service quality and
reducing the transportation cost gives rise to a new class of Vehicle Routing Problems (VRPs).
In this paper, we introduce a new optimization problem called the min-cost Parallel Drone
Scheduling Vehicle Routing Problem (PDSVRP). This problem is a variant of the well-known
Parallel Drone Scheduling Traveling Salesman Problem (PDSTSP) recently introduced in the
literature in which we allow multiple trucks and consider the objective of minimizing the total
transportation costs. We formulate the problem as a Mixed Integer Linear Program and then
develop a Ruin and Recreate (R&R) algorithm. Exploiting PDSVRP solution characteristics
in an effective manner, our heuristic manages to introduce \sufficient" rooms to a solution via
new removal operators during the ruin phase. It is expected to enhance the possibilities for
improving solutions later in the recreate phase. Multiple experiments on a new set of randomly
generated instances confirm the performance of our approach. To explore the benefits of drone
delivery as well as the insight into the impact of related factors on the contribution of drones’ use
to operational cost, a sensitivity analysis is conducted. We also adapt the proposed algorithm
to solve the PDSTSP and validate it via benchmarks available in the literature. It is shown that
our algorithm outperforms state-of-the-art algorithms in terms of solution quality. Out of 90
considered instances, it finds 26 new best known solutions.
Minh Anh Nguyen
Giang Thi-Huong Dang
Minh Hoàng Hà
Minh Trien Pham
trienpm@vnu.edu.vn
2021-06-28T16:41:15Z
2021-06-28T16:41:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4541
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4541
2021-06-28T16:41:15Z
In-air particle generation by on-chip electrohydrodynamics
Electrohydrodynamic atomization has been emerging as a powerful approach for respiratory treatment, including the generation and delivery of micro/nanoparticles as carriers for drugs and antigens. In this work, we present a new conceptual design in which two nozzles facilitate dual electrospray coexisting with ionic wind at chamfered tips by a direct current power source. Experimental results by a prototype have demonstrated the capability of simultaneously generating-and-delivering a stream of charged reduced particles. The concept can be beneficial to pulmonary nano-medicine delivery since the mist of nanoparticles is migrated without any restriction of either the collector or the assistance of external flow, but is pretty simple in designing and manufacturing devices.
Thanh Van Dau
v.dau@griffith.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Thanh Viet Nguyen
thanh.nguyenviet@griffithuni.edu.au
Tuan-Khoa Nguyen
khoa.nguyentuan@griffithuni.edu.au
Toan Dinh
toan.dinh@griffithuni.edu.au
Hoang-Phuong Phan
phuong.phanhoang@griffithuni.edu.au
David Wibowo
David.Wibowo@griffithuni.edu.au
Bernd H. A. Rehm
b.rehm@griffith.edu.au
Hang Thu Ta
h.ta@griffith.edu.au
Nam-Trung Nguyen
nam-trung.nguyen@griffith.edu.au
Viet Dzung Dao
d.dao@griffith.edu.au
2021-06-28T16:41:01Z
2021-06-28T16:41:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4540
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4540
2021-06-28T16:41:01Z
Development of a Compact Electrical Impedance Measurement Circuit for Protein Detection Two-electrode Impedance Micro-sensor
Development of compact and low-cost electronic circuits in the biosensor field is an essential demand. Especially, the design of noise reduction sections plays an important role in the measurement of small biosensing signals. This study develops an electrical impedance measurement circuit board to apply in the protein pre-concentration and detection microfluidic chips with integrated two-electrode impedance micro-sensor. A lock-in amplifier is applied in the circuit board to measure the impedance profile in the frequency range 10–200 kHz. An investigation on several ratios of signal to noise (SNRs) is conducted to indicate the ability of the proposed design in eliminating noise signals. Subsequently, a total impedance and series capacitance-based analysis approach from the recorded impedance data is demonstrated to be suitable for this protein chip in the frequencies range from 10 to 200 kHz. The obtained results enable a potential method in point-of-care diagnostic applications.
Quoc Tuan Vu
vqtuan0211@gmail.com
Ngoc Viet Nguyen
vietnn.mt@gmail.com
Bao Anh Hoang
anhbh@vnu.edu.vn
Chun-Ping Jen
Chun-Ping@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
2021-06-28T02:40:28Z
2021-06-28T02:40:28Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4149
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4149
2021-06-28T02:40:28Z
Electrodeposited nickel–graphene nanocomposite
coating: effect of graphene nanoplatelet size on its
microstructure and hardness
In this study, the effect of graphene nanoplatelet (GNP) size on the microstructure and hardness of the
electrodeposited nickel–graphene nanocomposite coatings were investigated. GNPs with different sizes
were prepared by using a high energy ball milling technique. The experimental result revealed the high
energy ball milling technique could reduce the size, increase the surface area, and improve the
dispersion ability of GNPs. The microstructure, hardness, and components of the nanocomposite
coatings were greatly affected by GNP sizes. The highest microhardness was measured to be 273 HV for
the nanocomposite coatings containing 5 h-milled GNPs, which is increased up to �47% compared to
pristine Ni coating. The enhancement in the hardness is attributed to the uniform dispersion of the small
GNP sizes inside the Ni matrix and the Ni grain size reduction when using milled GNPs.
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
2021-06-28T02:34:32Z
2021-06-28T02:34:32Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4548
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4548
2021-06-28T02:34:32Z
Electrodeposited nickel–graphene nanocomposite coating: influence of graphene nanoplatelet size on wear and corrosion resistance
In this paper, we broaden our previous work, which investigated the influence of graphene nanoplatelets (GNPs) size on
microstructure and hardness of composite coatings, to determine the effect of GNP size on wear-resistance and anti-corrosion
property of GNP-reinforced nickel coating (Ni/GNPs). The experimental results indicated that the small GNP material size
could enhance the wear resistance for nickel composite coating with the wear rate of 13.2 × 10–
4 mm3/
Nm, the wear depth
of 17.69 μm. Meanwhile, the anti-corrosion property is enhanced significantly, this is shown via the low corrosion current
density (Icorr value of 1.16 × 10–
7 A/cm2) and the high corrosion potential (Ecorr value of − 0.1661 V). In addition, the mass
lost in salt fog testing is low with the weight of 12.3 mg, which decreased down to ~ 55.27% compared to pristine Ni coating.
These results are attributed to the uniform distribution of the small GNP size inside Ni matrix as well as the grain refinement
effect of composite coating when using the small GNP size.
Van Hau Tran
Van Trinh Pham
Van Tu Nguyen
Nguyen Duc Duoc Phan
Thi Phuong Mai
Xuan Toan Nguyen
Dinh Phuong Doan
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
Dinh Lam Vu
Ngoc Minh Phan
Hung Thang Bui
thangbh@ims.vast.vn
2021-06-28T02:34:13Z
2021-06-28T02:34:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4536
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4536
2021-06-28T02:34:13Z
Air pollution in Vietnam during the COVID-19 social isolation, evidence of reduction in human activities
Truong X. Ngo
Ngoc T.N. Do
Hieu D.T. Phan
Vinh T. Tran
Tra T.M. Mac
Anh H. Le
Nguyet V. Do
Hung Q. Bui
Thanh T.N. Nguyen
2021-06-28T02:33:18Z
2021-06-28T02:33:18Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4533
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4533
2021-06-28T02:33:18Z
QMaker: Fast and Accurate Method to Estimate Empirical Models of Protein Evolution
Amino acid substitution models play a crucial role in phylogenetic analyses. Maximum likelihood (ML) methods have been proposed to estimate amino acid substitution models; however, they are typically complicated and slow. In this article, we propose QMaker, a new ML method to estimate a general time-reversible Q matrix from a large protein data set consisting of multiple sequence alignments. QMaker combines an efficient ML tree search algorithm, a model selection for handling the model heterogeneity among alignments, and the consideration of rate mixture models among sites. We provide QMaker as a user-friendly function in the IQ-TREE software package (http://www.iqtree.org) supporting the use of multiple CPU cores so that biologists can easily estimate amino acid substitution models from their own protein alignments. We used QMaker to estimate new empirical general amino acid substitution models from the current Pfam database as well as five clade-specific models for mammals, birds, insects, yeasts, and plants. Our results show that the new models considerably improve the fit between model and data and in some cases influence the inference of phylogenetic tree topologies.[Amino acid replacement matrices; amino acid substitution models; maximum likelihood estimation; phylogenetic inferences.
Bui Quang Minh
m.bui@anu.edu.au
Cao Cuong Dang
cuongdc@vnu.edu.vn
Le Sy Vinh
vinhls@vnu.edu.vn
Robert Lanfear
rob.lanfear@anu.edu.au
2021-06-28T02:28:49Z
2021-06-28T02:28:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4525
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4525
2021-06-28T02:28:49Z
Efficiently compressing 3D medical images for teleinterventions via CNNs and anisotropic diffusion
Ha Manh Luu
Theo Walsum
Daniel Franklin
Phuong Cam Pham
Luu Dang Vu
Adriaan Moelker
Marius Staring
Xiem VanHoang
Wiro Niessen
Nguyen Linh Trung
2021-06-28T02:06:35Z
2021-06-28T02:06:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4518
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4518
2021-06-28T02:06:35Z
Genome‐Wide Association Mapping of Salinity Tolerance at the Seedling Stage in a Panel of Vietnamese Landraces Reveals New Valuable QTLs for Salinity Stress Tolerance Breeding in Rice
Rice tolerance to salinity stress involves diverse and complementary mechanisms, such as the regulation of genome expression, activation of specific ion‐transport systems to manage excess sodium at the cell or plant level, and anatomical changes that avoid sodium penetration into the inner tissues of the plant. These complementary mechanisms can act synergistically to improve sa‐ linity tolerance in the plant, which is then interesting in breeding programs to pyramidize comple‐ mentary QTLs (quantitative trait loci), to improve salinity stress tolerance of the plant at different developmental stages and in different environments. This approach presupposes the identification of salinity tolerance QTLs associated with different mechanisms involved in salinity tolerance, which requires the greatest possible genetic diversity to be explored. To contribute to this goal, we screened an original panel of 179 Vietnamese rice landraces genotyped with 21,623 SNP markers for salinity stress tolerance under 100 mM NaCl treatment, at the seedling stage, with the aim of identifying new QTLs involved in the salinity stress tolerance via a genome‐wide association study (GWAS). Nine salinity tolerance‐related traits, including the salt injury score, chlorophyll and water content, and K+ and Na+ contents were measured in leaves. GWAS analysis allowed the identifica‐ tion of 26 QTLs. Interestingly, ten of them were associated with several different traits, which indi‐ cates that these QTLs act pleiotropically to control the different levels of plant responses to salinity stress. Twenty‐one identified QTLs colocalized with known QTLs. Several genes within these QTLs have functions related to salinity stress tolerance and are mainly involved in gene regulation, signal transduction or hormone signaling. Our study provides promising QTLs for breeding programs to enhance salinity tolerance and identifies candidate genes that should be further functionally studied to better understand salinity tolerance mechanisms in rice.
T.D. Le
F. Gathignol
H.T. Vu
K.L. Nguyen
nl.khanh@vnu.edu.vn
L.H. Tran
H.T.T. Vu
T.X. Dinh
F. Lazennec
X.H. Pham
A.-A. Very
P. Gantet
G.T. Hoang
2021-06-28T02:04:40Z
2021-06-28T02:04:40Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4550
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4550
2021-06-28T02:04:40Z
Exploiting Social Networks as a Live Mass Media Channel
During Disasters for Reactions
Minh Tien Nguyen
tiennm@jaist.ac.jp
Tri Thanh Nguyen
ntthanh@vnu.edu.vn
Kitamoto Asanobu
Van-Hau Nguyen
2021-06-28T00:17:18Z
2021-06-28T00:17:18Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4507
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4507
2021-06-28T00:17:18Z
Proxy-based Federated Authentication: A Transparent Third-party Solution for Cloud-Edge Federation
Cloud and Edge computing paradigms provide storage and computing services to the traditional and Internet of Things devices. In the past few years, the number IoT devices has increased exponentially and different devices have different requirements due to heterogeneity. Hence, one computing platform is not suitable to fulfill the requirements of all IoT devices. In this case, federation of different computing paradigms comes into play where a user or a device having an account on one computing platform can access the services provided by the other computing platform, federated with the first computing platform, without having to create another account. There are multiple research problems which arise due to the federation among which authentication is the most important one. This work addresses the third-party authentication problem in federated cloud and 3GPP edge systems where a user (first party) having an account on the cloud or edge (second party) needs to access services in the edge or cloud (third party). Related studies in the literature solve these issues by proposing new protocols or by adding new components in the 3GPP system. In this study, we propose the standard-compliant third-party authentication approach, which is the combination of the existing authentication protocols in the cloud and the 3GPP network. we use a federated proxy between cloud and the 3GPP network to solve the problem of message mismatch in the authentication protocols of cloud and edge. The experimental results illustrate that, as compared with the combination of OpenID Connect and EPS-AKA, third-party authentication of edge-to-cloud and cloud-to-edge using federated proxy can reduce the authentication delay time by 27.7% and 37.9% respectively and it is also standard compliant.
Ying-Dar Lin
ydlin@cs.nctu.edu.tw
Duc Tai Truong
taitd95@gmail.com
Yuan-Cheng Lai
laiyc@cs.nctu.edu.tw
ChiYu Li
lichiyu@gmail.com
Asad Ali
ali.eed06g@nctu.edu.tw
Thi Thai Mai Dinh
dttmai@vnu.edu.vn
2021-06-21T07:08:05Z
2021-06-21T07:08:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4166
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4166
2021-06-21T07:08:05Z
Nanostructured Stable Floating М-Mono- and Bilayers and Langmuir-Schaefer Films of 5,10,15-Triphenylcorrole
Larissa A. Maiorova
Thao T. Vu
Olga A. Gromova
Konstantin S. Nikitin
Oskar I. Koifman
2021-06-21T07:07:54Z
2021-06-21T07:07:54Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4167
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4167
2021-06-21T07:07:54Z
An Influence of Copper Cation in the Complex on Structure of the Nanostructured Layers, Spectral and Electrocatalytic Characteristics of Langmuir-Schaeffer Films of Triphenylcorrole
Nadezhda M. Berezina
Thao T. Vu
Nadezhda V. Kharitonova
Larisa A. Maiorova
Oskar I. Koifman
Sergei V. Zyablov
2021-06-21T07:06:26Z
2021-06-21T07:06:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4500
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4500
2021-06-21T07:06:26Z
Structural evolution and magnetic properties of Bi0.86Nd0.14Fe1-xTixO3 ceramics
Ceramic Bi0.86Nd0.14Fe1-xTixO3 (0.02 ≤ x ≤ 0.1) compounds were prepared to study the structural evolution, microstructure, and magnetic properties. The structural analysis by X-ray diffraction and Rietveld refinement revealed a coexistence of the polar rhombohedral (R3c symmetry) and antipolar orthorhombic (Pbam symmetry)
structures over the entire composition range, while Raman scattering spectroscopy detected not only the phonon
vibrations of the R3c and Pbam but also the Pbnm symmetries. The microstructure investigation showed the small
and large grain size regions corresponding to the R3c and Pbam/Pbnm phases, respectively. The dependence of
magnetization on the Ti concentration suggested that the weak ferromagnetism observed in the compounds arised from the intrinsic collapse of cycloidal order rather than defect-induced magnetism. The magnetic aging observed at room temperature was explained on the basic of phase switching and spin frustration at the phase boundary. The influence of phase switching induced by an external electric field on the magnetic properties was also studied to reveal the contribution of phase boundary spins to the net magnetization.
Thi Minh Hong Nguyen
hongntm@vnu.edu.vn
Dang Co Nguyen
cond@vnu.edu.vn
Thi Anh Ho
anhht@vnu.edu.vn
Dinh Tu Bui
buidinhtu@vnu.edu.vn
2021-06-21T07:06:12Z
2021-06-21T07:06:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4499
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4499
2021-06-21T07:06:12Z
Electronic structure and multiferroic properties of (Y, Mn)-doped barium hexaferrite compounds
We have systematically studied the crystal and electronic structures and the magnetic and electrical polarization properties of polycrystalline Ba0.95Y0.05Fe12−xMnxO19 (denoted as BaYFe12−xMnxO19) compounds with x = 0–2. The analyzes of X-ray diffraction patterns and Raman scattering spectra indicated their single phase in the M-type hexaferrite structure. With increasing x, the lattice constant a slightly increased while c decreased, which related to the Jahn-Teller effect. Though an increase of x reduced gradually magnetization in a range of 23–32 emu/g, the coercive force increased from 3.3 kOe for x = 0 to about 4 kOe for x = 0.5–2. The study of the electrical polarization properties proved the dependence of the shape of electric hysteresis loops on x and applied electric field. The samples with x = 0 and 0.5 exhibit a weak ferroelectricity with the maximum polarization of ~0.11 μC/cm2 for x = 0, and of ~0.06 μC/cm2 for x = 0.5. Meanwhile, the other samples showed nearly circular hysteresis loops, which are characteristic of conductive materials. Detailed investigations indicated an increase in leakage current when x increased. All of such phenomena are tightly related to the chemical shift of Mn2+ → Mn3+ and the replacement of Mn2+,3+ for Fe3+ in BaYFe12−xMnxO19. These oxidation states and the chemical shift of Mn have been confirmed upon analyzing X-ray absorption spectra
Duc Thang Pham
pdthang@vnu.edu.vn
Dang Co Nguyen
cond@vnu.edu.vn
Thi Minh Hong Nguyen
hongntm@vnu.edu.vn
Thi Anh Ho
anhht@vnu.edu.vn
2021-06-20T05:10:41Z
2021-06-20T05:10:41Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4490
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4490
2021-06-20T05:10:41Z
A Trellis Based Temporal Rate Allocation and Virtual Reference Frames for High Efficiency Video Coding
The High Efficiency Video Coding (HEVC) standard has now become the most popular video coding solution for video conferencing, broadcasting, and streaming. However, its compression performance is still a critical issue for adopting a large number of emerging video applications with higher spatial and temporal resolutions. To advance the current HEVC performance, we propose an efficient temporal rate allocation solution. The proposed method adaptively allocates the compression bitrate for each coded picture in a group of pictures by using a trellis-based dynamic programming approach. To achieve this task, we trained the trellis-based quantization parameter for each frame in a group of pictures considering the temporal layer position. We further improved coding efficiency by incorporating our proposed framework with other inter prediction methods such as a virtual reference frame. Experiments showed around 2% and 5% bitrate savings with our trellis-based rate allocation method with and without a virtual reference frame compared to the conventional HEVC standard, respectively.
HoangVan Xiem
xiemhoang@vnu.edu.vn
Dao Thi Hue Le
Nguyen Canh Thuong
2021-06-18T11:17:42Z
2021-06-18T11:17:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4481
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4481
2021-06-18T11:17:42Z
A framework for assume-guarantee regression verification of evolving software
This paper presents a framework for verifying evolving component-based software using assume-guarantee logic. The goal is to improve CDNF-based assumption generation method by having local weakest assumptions that can be used more effectively when verifying component-based software in the context of software evolution. For this purpose, we improve the technique for responding to membership queries when generating candidate assumptions. This technique is then integrated into a proposed backtracking algorithm to generate local weakest assumptions. These assumptions are effectively used in rechecking the evolving software by reducing time required for assumption regeneration within the proposed framework. The proposed framework can be applied to verify software that is continually evolving. An implemented tool and experimental results are presented to demonstrate the effectiveness and usefulness of the framework.
Hoang Viet Tran
vietth2004@gmail.com
Ngoc Hung Pham
hungpn@vnu.edu.vn
Viet-Ha Nguyen
Toshiaki Aoki
2021-06-18T10:37:24Z
2021-06-18T10:37:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4460
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4460
2021-06-18T10:37:24Z
Near-isogenic lines of soybean confirm a QTL for seed
waterlogging tolerance at different temperatures
Climate change is predicted to increase the
probability of soil waterlogging due to severe rainfall,
causing significant damage to soybean at the germi�nation stage. Germination under waterlogging is also
greatly influenced by temperature. To clarify the
variation in germination responses of soybean geno�types to waterlogging at different temperatures, the
seeds of 15 soybean genotypes were treated by
soaking for 2 days at four temperatures: 21 �C,
23 �C, 25 �C, 27 �C and 29 �C. Differences in the
germination rate (GR) and normal seedling rate (NSR)were observed among soybean genotypes after soak�ing treatments regardless of the temperature. Among
the examined genotypes, Iyodaizu was classified as
waterlogging tolerant at the germination stage, and
Tachinagaha was classified as sensitive. Interestingly,
through the analyses of recombinant inbred lines
(RILs) developed from a cross between Tachinagaha
and Iyodaizu, quantitative trait loci (QTLs) for root
development under hypoxia at the seedling stage of
soybean were detected on chromosome 12
(Chr.12).We investigated whether the candidate QTL
region for root development is involved in seed
waterlogging tolerance by using a near-isogenic line
(NIL), NIL-9-4-5. Interestingly, under soaking treat�ment, the GR and NSR of NIL-9-4-5, carrying the
candidate QTL region, was nearly the same as that of
Iyodaizu and was significantly higher than that of
Tachinagaha. These results may indicate that the
candidate QTL region for root development under
hypoxia at the seedling stage located on Chr.12
contributes to the seed waterlogging tolerance of
soybean plants at the germination stage.
Van Loc Nguyen
nvloc@vnua.edu.vn
Thi Thu Hien Dang
Duc ha Chu
cd.ha@vnu.edu.vn
Nakamura Tetsuhiro
Tomomi Abiko
Mochizuki Toshihiro
2021-06-18T10:35:26Z
2021-06-18T10:35:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4459
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4459
2021-06-18T10:35:26Z
Towards Robust Cognitive 3D Brain-inspired Cross-paradigm System
Spiking Neuromorphic systems have been introduced as promising platforms for energy-efficient spiking neural network (SNNs) execution. SNNs incorporate neuronal and synaptic states in addition to the variant time scale into their computational model. Since each neuron in these networks is connected to many others, high bandwidth is required. Moreover, since the spike times are used to encode information in SNN, a precise communication latency is also needed, although SNN is tolerant to the spike delay variation in some limits when it is seen as a whole.
The two-dimensional packet-switched network-on-chip was proposed as a solution to provide a scalable interconnect fabric in large-scale spike-based neural networks. The 3D-ICs have also attracted a lot of attention as a potential solution to resolve the interconnect bottleneck. Combining these two emerging technologies provides a new horizon for IC design to satisfy the high requirements of low power and small footprint in emerging AI applications. Moreover, although fault-tolerance is a natural feature of biological systems, integrating many computation and memory units into neuromorphic chips confronts the reliability issue, where a defective part can affect the overall system's performance. This paper presents R-NASH - a reliable three-dimensional digital neuromorphic system geared explicitly toward the 3D-ICs biological brain's three-dimensional structure, where information in the network is represented by sparse patterns of spike timing and learning is based on the local spike-timing-dependent plasticity rule. Our platform enables high integration density and small spike delay of spiking networks and features a scalable design. R-NASH is a design based on the Through-Silicon-Via technology, facilitating spiking neural network implementation on clustered neurons based on Network-on-Chip. We provide a memory interface with the host CPU, allowing for online training and inference of spiking neural networks. Moreover, R-NASH supports fault recovery with graceful performance degradation.
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
Nam Khanh Dang
dnk0904@gmail.com
2021-06-18T10:34:53Z
2021-06-18T10:34:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4456
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4456
2021-06-18T10:34:53Z
Towards Robust Cognitive 3D Brain-inspired Cross-paradigm System
Spiking Neuromorphic systems have been introduced as promising platforms for energy-efficient spiking neural network (SNNs) execution. SNNs incorporate neuronal and synaptic states in addition to the variant time scale into their computational model. Since each neuron in these networks is connected to many others, high bandwidth is required. Moreover, since the spike times are used to encode information in SNN, a precise communication latency is also needed, although SNN is tolerant to the spike delay variation in some limits when it is seen as a whole.
The two-dimensional packet-switched network-on-chip was proposed as a solution to provide a scalable interconnect fabric in large-scale spike-based neural networks. The 3D-ICs have also attracted a lot of attention as a potential solution to resolve the interconnect bottleneck. Combining these two emerging technologies provides a new horizon for IC design to satisfy the high requirements of low power and small footprint in emerging AI applications. Moreover, although fault-tolerance is a natural feature of biological systems, integrating many computation and memory units into neuromorphic chips confronts the reliability issue, where a defective part can affect the overall system's performance. This paper presents R-NASH - a reliable three-dimensional digital neuromorphic system geared explicitly toward the 3D-ICs biological brain's three-dimensional structure, where information in the network is represented by sparse patterns of spike timing and learning is based on the local spike-timing-dependent plasticity rule. Our platform enables high integration density and small spike delay of spiking networks and features a scalable design. R-NASH is a design based on the Through-Silicon-Via technology, facilitating spiking neural network implementation on clustered neurons based on Network-on-Chip. We provide a memory interface with the host CPU, allowing for online training and inference of spiking neural networks. Moreover, R-NASH supports fault recovery with graceful performance degradation.
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
Nam Khanh Dang
dnk0904@gmail.com
2021-05-31T11:03:51Z
2021-05-31T11:03:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4444
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4444
2021-05-31T11:03:51Z
Assessment of a Homogeneous Model for Simulating a Cavitating Flow in Water under a Wide Range of Temperatures
The cavitating flow on a NACA0015 hydrofoil in water under a wide range of temperatures is simulated with or without non-condensation gas using a homogeneous model. Our simplified thermodynamic model is coupled with governing equations to capture the latent heat transfer in cavitation. A numerical evaluation proves its applicability through a comparison with experimental data. As a result, the numerical evaluation illustrates good agreement with measured data for both simulations with or without non-condensation gas. The expected prediction pressure coefficient is in better agreement with experimental data for high-temperature water compared to the existing numerical data. Although the temperature depression inside the cavity is confirmed numerically, the thermodynamic effect shows a weak impact on the cavitation behavior near the boiling temperature (100oC). The cavitating flow can therefore be simulated reasonably by an iso-thermal approach at a reasonable cost. The suppression of the void fraction as the water temperature increases is deduced by the flow behavior rather than the thermodynamic effect. Finally, the impact of a non-condensation gas is closely linked to the thermodynamic properties of the water and the flow behavior. The attached cavity position shifts closer to the hydrofoil leading edge significantly in high-temperature water, while an identical position is reproduced for room temperature conditions in comparison with the simulation without a non-condensation gas.
Anh Dinh Le
anh.ld@vnu.edu.vn
2021-05-31T11:01:47Z
2021-05-31T11:01:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4446
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4446
2021-05-31T11:01:47Z
Turbulence cascade model for viscous vortex ring-tube reconnection
The reconnection of a vortex ring and a vortex tube in a viscous fluid with the effects of two vortex core sizes (σ 0 = 0.12r 0 and 0.24r 0 , where r 0 are initial ring radius) and three initial flow configurations (left-offset, center and right-offset) at Reynolds number (Re Γ) of 10000 was investigated using a high-order Vortex-in-cell method combined with a Large-eddy simulation model. For the left-offset case, a large part of the ring, slipping over the tube, associates with a small part of the tube to establish a new vortex ring, whereas the rest of the tube is reconnected by another part of the ring. For the center case, half of the ring joins with a part of the tube to construct an elliptical vortex ring while the rest connects because of viscosity. The reconnected ring and tube become more stable and are like the initial ones in the ultimate stage. For the right-offset case, both the ring and tube's reconnection occurs, and the reconnected elliptical vortex ring is rapidly distorted. The proportion of reconnected ring increases, and then this ring section loses its integrity, decaying into a complex cluster of various-scales vortex structures in different shapes. At σ 0 = 0.12r 0 , the secondary vortex structures surrounding the tube and ring appear in three cases, while they are only observed for the center case at σ 0 = 0.24r 0. For three flow configurations and two vortex core sizes, after the reconnection, the energy cascade of the flow approaches a k −5/3 slope of Kolmogorov's similarity hypotheses and a k −3 slope in the ranges of wavenumbers (k) from 3 to 10 and from 10 to 40, respectively. The highest population of small-scale coherent vortex structures is observed for the right-offset, followed by the center and left-offset. In addition, a larger number of these structures were observed for a smaller core size. This validates that the mixing performance is the best at a small vortex core and in the right-offset configuration.
Duong Viet Dung
duongdv@vnu.edu.vn
Nguyen Van Duc
Nguyen Van Luc
nguyenvanluc@tdtu.edu.vn
2021-05-31T10:58:32Z
2021-05-31T10:58:32Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4437
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4437
2021-05-31T10:58:32Z
Hierarchical Convolutional Neural Network with Feature Preservation and Autotuned Thresholding for Crack Detection
Drone imagery is increasingly used in automated inspection for infrastructure surface defects, especially in hazardous or unreachable environments. In machine vision, the key to crack detection rests with robust and accurate algorithms for image processing. To this end, this paper proposes a deep learning approach using hierarchical convolutional neural networks with feature preservation (HCNNFP) and an intercontrast iterative thresholding algorithm for image binarization. First, a set of branch networks is proposed, wherein the output of previous convolutional blocks is half-sizedly concatenated to the current ones to reduce the obscuration in the down-sampling stage taking into account the overall information loss. Next, to extract the feature map generated from the enhanced HCNN, a binary contrast-based autotuned thresholding (CBAT) approach is developed at the post-processing step, where patterns of interest are clustered within the probability map of the identified features. The proposed technique is then applied to identify surface cracks on the surface of roads, bridges or pavements. An extensive comparison with existing techniques is conducted on various datasets and subject to a number of evaluation criteria including the average F-measure (AFβ) introduced here for dynamic quantification of the performance. Experiments on crack images, including those captured by unmanned aerial vehicles inspecting a monorail bridge. The proposed technique outperforms the existing methods on various tested datasets especially for GAPs dataset with an increase of about 1.4% in terms of AFβ while the mean percentage error drops by 2.2%. Such performance demonstrates the merits of the proposed HCNNFP architecture for surface defect inspection.
Qiuchen Zhu
Qiuchen.Zhu@student.uts.edu.au
Tran Hiep Dinh
tranhiep.dinh@vnu.edu.vn
Manh Duong Phung
duongpm@vnu.edu.vn
Ha Quang
quang.ha@uts.edu.au
2021-05-31T10:58:19Z
2021-05-31T10:58:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4436
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4436
2021-05-31T10:58:19Z
Safety-enhanced UAV Path Planning with Spherical Vector-based Particle Swarm Optimization
This paper presents a new algorithm named spherical vector-based particle swarm optimization (SPSO) to deal with the problem of path planning for unmanned aerial vehicles (UAVs) in complicated environments subjected to multiple threats. A cost function is first formulated to convert the path planning into an optimization problem that incorporates requirements and constraints for the feasible and safe operation of the UAV. SPSO is then used to find the optimal path that minimizes the cost function by efficiently searching the configuration space of the UAV via the correspondence between the particle position and the speed, turn angle and climb/dive angle of the UAV. To evaluate the performance of SPSO, eight benchmarking scenarios have been generated from real digital elevation model maps. The results show that the proposed SPSO outperforms not only other particle swarm optimization (PSO) variants including the classic PSO, phase angle-encoded PSO and quantum-behave PSO but also other state-of-the-art metaheuristic optimization algorithms including the genetic algorithm (GA), artificial bee colony (ABC), and differential evolution (DE) in most scenarios. In addition, experiments have been conducted to demonstrate the validity of the generated paths for real UAV operations. Source code of the algorithm can be found at https://github.com/duongpm/SPSO.
Manh Duong Phung
duongpm@vnu.edu.vn
Ha Quang
quang.ha@uts.edu.au
2021-05-31T10:58:07Z
2021-05-31T10:58:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4435
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4435
2021-05-31T10:58:07Z
Robust Subspace Tracking with Missing Data and Outliers: Novel Algorithm with Convergence Guarantee
In this paper, we propose a novel algorithm, namely
PETRELS-ADMM, to deal with subspace tracking in the presence of outliers and missing data. The proposed approach consists of two main stages: outlier rejection and subspace estimation. In the first stage, alternating direction method of multipliers (ADMM) is effectively exploited to detect outliers affecting the observed data. In the second stage, we propose an improved version of the parallel estimation and tracking by recursive least squares (PETRELS) algorithm to update the underlying subspace in the missing data context. We then present a theoretical convergence analysis of PETRELS-ADMM which shows that it generates a sequence of subspace solutions converging to the optimum of its batch counterpart. The effectiveness of the proposed algorithm, as compared to state-of-the-art algorithms, is illustrated on both simulated and real data.
Trung Thanh Le
letrungthanhtbt@gmail.com
Viet Dung Nguyen
nvdung@vnu.edu.vn
Linh Trung Nguyen
linhtrung@vnu.edu.vn
Karim Abed-Meraim
karim.abed-meraim@univ-orleans.fr
2021-05-31T10:54:29Z
2021-05-31T10:54:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4431
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4431
2021-05-31T10:54:29Z
On the Design of a Fault-tolerant Scalable Three Dimensional NoC-based Digital Neuromorphic System with On-chip Learning
Mark Ogbodo
Nam Khanh Dang
dnk0904@gmail.com
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
2021-05-31T10:52:11Z
2021-05-31T10:52:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4430
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4430
2021-05-31T10:52:11Z
HotCluster: A thermal-aware defect recovery
method for Through-Silicon-Vias Towards Reliable
3-D ICs systems
Through Silicon Via (TSV) is considered as the
near-future solution to realize low-power and high-performance
3D-Integrated Circuits (3D-ICs) and 3D-Network-on-Chips (3DNoCs). However, the lifetime reliability issue of TSV due to
its fault sensitivity and the high operating temperature of
3D-ICs, which also accelerates the fault-rate, is one of the
most critical challenges. Meanwhile, most current works focus
on detecting and correcting TSV defects after manufacturing
without considering high-temperature nodes’ impact on lifetime
reliability. Besides, the recovery for defective clusters is also
challenging because of costly redundancies. In this work, we
present HotCluster: a hotspot-aware self-correction platform for
clustering defects in 3D-NoCs to help understand and tackle
this problem. We first give a method to predict normalized fault
rates and place redundant TSV groups according to each region’s
fault rate. In our particular medium fault-rate (normalized to the
coolest area), HotCluster reduces about 60% of the redundancies
in comparison to the uniformly distributed redundancies while
having a higher ratio of router working in a normal state. Furthermore, HotCluster integrates both online (weight-based) and
offline (max-flow min-cut offline method) mapping algorithms to
help the system correct the faulty TSV clusters. The experimental
results show that both the max-flow min-cut offline method and
weight-based online mode with a redundancy of 0.25 exhibits less
than 1% of routers disabled under 50% defect-rates.
Nam Khanh Dang
dnk0904@gmail.com
Akram Ben Ahmed
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
Xuan Tu Tran
tutx@vnu.edu.vn
2021-03-24T04:48:56Z
2021-03-24T04:48:56Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4395
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4395
2021-03-24T04:48:56Z
Vortex ring-tube reconnection in a viscous fluid
The vortex ring-tube reconnection in a viscous fluid was investigated using a proposed vortex-in-cell method combined with a large eddy simulation model (LVIC). This method was verified using simulations of the Taylor–Green vortex flow at the Reynolds numbers (Re) 200 and 2000. The results show that the present method can capture the small-scale vortex structures in turbulent flows well. Besides, a Lagrangian method for passive scalar transport was successfully developed to track the vortex dynamics. The LVIC was then applied to three simulations of the interaction of a vortex ring at RerΓ(Γ/ν)=10000 and a vortex tube at RetΓ=1000, 5000, and 10 000. At RerΓ=10000 and RetΓ=1000, the effects of the tube on the ring are trivial while the ring breaks it into two parts and entrains them. The flow’s energy spectrum remains unchanged with time, the small-scale vortices are not generated, and the ring’s motion plays a key role in the flow. Moreover, the helicity distribution on the vortices is negligible. At RerΓ=10000 and RetΓ=5000, the tube breaks into two parts, and the leaving part of the tube interacts forcefully with the ring to form the small-scale vortices at the high wavenumbers. The population of small-scale vortex structures increases with time, and the large-scale vortices are twisted after the impingement. At RerΓ=10000 and RetΓ=10000, the impingement of the ring on the tube leads to their breakdown and reconnection. A part of the ring interacts with the leaving part of the tube to form a secondary ring, while the rest replaces the leaving part to reconnect the tube. The population of small-scale vortex structures and helicity distribution increase in this flow stage because of the interaction of the secondary ring wake and connection vortices. However, after the reconnection, the population and helicity distribution on the vortex structures significantly decrease. The smallest-scale vortex structure and the most effective mixing occur with RerΓ=10000 and RetΓ=5000.
Nguyen Van Luc
nguyenvanluc@tdtu.edu.vn
Duong Viet Dung
duongdv@vnu.edu.vn
2021-01-06T02:44:08Z
2021-01-06T02:44:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4364
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4364
2021-01-06T02:44:08Z
Impact of blast and mechanical loads on the shear deformable stiffened sandwich plate with an auxetic core layer in thermal environment
Ngoc Thinh Pham
Dinh Quang Vu
quangvd2510@gmail.com
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2021-01-06T02:43:57Z
2021-01-06T02:43:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4363
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4363
2021-01-06T02:43:57Z
Nonlinear dynamic response and vibration of imperfect eccentrically stiffened sandwich third-order shear deformable FGM cylindrical panels in thermal environments
his study follows an analytical approach to investigate the nonlinear dynamic response and vibration of eccentrically stiffened sandwich functionally graded material (FGM) cylindrical panels with metal–ceramic layers on elastic foundations in thermal environments. It is assumed that the FGM cylindrical panel is reinforced by the eccentrically longitudinal and transversal stiffeners and subjected to mechanical and thermal loads. The material properties are assumed to be temperature dependent and graded in the thickness direction according to a simple power law distribution. Based on the Reddy’s third-order shear deformation shell theory, the motion and compatibility equations are derived taking into account geometrical nonlinearity and Pasternak-type elastic foundations. The outstanding feature of this study is that both FGM cylindrical panel and stiffeners are assumed to be deformed in the presence of temperature. Explicit relation of deflection–time curves and frequencies of FGM cylindrical panel are determined by applying stress function, Galerkin method and fourth-order Runge-Kutta method. The influences of material and geometrical parameters, elastic foundations and stiffeners on the nonlinear dynamic and vibration of the sandwich FGM panels are discussed in detail. The obtained results are validated by comparing with other results in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Duc Tuan Ngo
Tran Phuong
Quoc Quan Tran
Van Thanh Nguyen
2021-01-06T02:43:47Z
2021-01-06T02:43:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4361
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4361
2021-01-06T02:43:47Z
Nonlinear post-buckling and vibration of 2D penta-graphene composite plates
The newly developed penta-graphene is a two-dimensional (2D) carbon allotrope with promising mechanical properties. This paper investigates the nonlinear post-buckling and vibration of imperfect three-dimensional penta-graphene composite plates resting on elastic foundations and subjected to uniform external pressure and axial compressive load. The elastic constants of the single-layer penta-graphene are fully determined by the density functional theory by fitting the equation of strain energy to the density functional theory energy. Specifically, the elastic constant C66 which has not been considered by other authors is also determined. The motion and compatibility equations are derived based on the classical plate theory taking into account von Karman geometrical nonlinearity, initial geometrical imperfection and Pasternak type elastic foundations. For nonlinear post-buckling, the Bubnov–Galerkin method is applied to obtain the load–deflection amplitude curves while the Runge–Kutta method and harmonic balance method are used to obtain the deflection amplitude–time curves and the amplitude–frequency curves for nonlinear vibration. Numerical results show the effects of geometrical parameters, initial imperfection and elastic foundations on the nonlinear post-buckling and vibration of the imperfect 2D penta-graphene plates. The present results are also compared to others to validate the accuracy of the applied method and approach.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Tien Lam Pham
Quoc Quan Tran
Minh Quang Pham
Van Quyen Nguyen
2021-01-06T02:43:39Z
2021-01-06T02:43:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4360
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4360
2021-01-06T02:43:39Z
Nonlinear vibration of FGM moderately thick toroidal shell segment within the framework of Reddy’s third order-shear deformation shell theory
Nonlinear vibration and dynamic response of functionally graded moderately thick toroidal shell segments resting on Pasternak type elastic foundation are investigated in this paper. Functionally graded materials are made from ceramic and metal, and the volume fraction of constituents are assumed to vary through the thickness direction according to a power law function. Reddy’s third order shear deformation, von Karman nonlinearity, Airy stress function method and analytical solutions are used to derive the governing equations. Galerkin method is used to convert the governing equation into nonlinear differential equation, then the explicit expressions of natural frequencies and nonlinear frequency–amplitude relations are obtained. Using Runge–Kutta method, the nonlinear differential equation of motion is solved, and then nonlinear vibration and dynamic response of shells are analyzed. The effects of temperature, material and geometrical properties, and foundation parameters on nonlinear vibration and dynamic characteristics are investigated and discussed in detail.
Minh Vuong Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2021-01-06T02:43:28Z
2021-01-06T02:43:28Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4359
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4359
2021-01-06T02:43:28Z
Effect of eccentrically oblique stiffeners and temperature on the nonlinear static and dynamic response of S-FGM cylindrical panels
This work aims to study the effect of obique stiffeners on the nonlinear static and dynamic buckling behaviors of S-FGM cylindrical panels based on the classical shell theory (CST) with the geometrical nonlinearity in von Kármán Donnell sense. The dynamic critical buckling load is obtainted by Budiansky-Roth criterion. The cylindrical panels are reinforced by oblique stiffeners on the top layer, and supported by elastic foundations on the bottom layer. Numerical results are given to evaluate effects of inhomogeneous, dimensional parameters, temperature increment, compressive pressure, oblique angles of stiffeners, the imperfection of original shape, and elastic foundations to the nonlinear static and dynamic response of S-FGM cylindrical panels in the thermal environment.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Tuan Manh Duong
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
2021-01-06T02:43:14Z
2021-01-06T02:43:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4358
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4358
2021-01-06T02:43:14Z
Free vibration of cracked FGM plates with variable thickness resting on elastic foundations
Minh Phuc Pham
Tuan Manh Duong
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2021-01-06T02:43:02Z
2021-01-06T02:43:02Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4354
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4354
2021-01-06T02:43:02Z
Enhanced nodal gradient finite elements with new numerical integration schemes for 2D and 3D geometrically nonlinear analysis
The consecutive-interpolation procedure (CIP) has been recently proposed as an enhanced technique for traditional finite element method (FEM) with various desirable properties such as continuous nodal gradients and higher accuracy without increasing the total number of degrees of freedom (DOFs). It is common knowledge that linear finite elements, e.g., four-node quadrilateral (Q4) or eight-node hexahedral (HH8) elements, are not highly suitable for geometrically nonlinear analysis. The elements with quadratic interpolation functions have to be used instead. In this paper, the CIP-enhanced four-node quadrilateral element (CQ4), and the CIP-enhanced eight-node hexahedral element (CHH8), are for the first time extended to investigate geometrically nonlinear problems of two- (2D) and three-dimensional (3D) structures. To further enhance the efficiency of the present approaches, novel numerical integration schemes based on the concept of mid-point rules, namely element mid-points (EM) and element mid-edges (EE) are integrated into the present CQ4 element. For CHH8, the 3D-version of EM (namely 3D-EM) and the element mid-faces (EF) scheme are investigated. The accuracy and computational efficiency of the two novel quadrature schemes in both regular and irregular (distorted) meshes are analyzed. Numerical results indicate that the new integration approaches perform more efficiently than the well-known Gaussian quadrature while gaining equivalent accuracy. The performance of the CIP-enhanced elements, which is examined through numerical experiments, is found to be equivalent to that of quadratic Lagrangian finite element counterparts, while having the same discretization with that by the linear finite elements. In addition, we also apply the present CQ4 and CHH8 elements associated with different numerical integration techniques to nearly incompressible materials.
Dinh Du Nguyen
Minh Ngoc Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Rungamornrat Jaroon
Quoc Tinh Bui
2020-12-26T09:29:35Z
2020-12-26T09:29:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4330
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4330
2020-12-26T09:29:35Z
The new design of cows' behavior classifier based on acceleration data and proposed feature set
Monitor and classify behavioral activities in cows is a helpful support solution for livestock based on the analysis of data from sensors attached to the animal. Accelerometers are particularly suited for monitoring cow behaviors due to small size, lightweight and high accuracy. Nevertheless, the interpretation of the data collected by such sensors when characterizing the type of behaviors still brings major challenges to developers, related to activity complexity (i.e., certain behaviors contain similar gestures). This paper presents a new design of cows' behavior classifier based on acceleration data and proposed feature set. Analysis of cow acceleration data is used to extract features for classification using machine learning algorithms. We found that with 5 features (mean, standard deviation, root mean square, median, range) and 16-second window of data (1 sample/second), classification of seven cow behaviors (including feeding, lying, standing, lying down, standing up, normal walking, active walking) achieved the overall highest performance. We validated the results with acceleration data from a public source. Performance of our proposed classifier was evaluated and compared to existing ones in terms of the sensitivity, the accuracy, the positive predictive value, and the negative predictive value.
Phung Cong Phi Khanh
Duc-Tan Tran
Van Tu Duong
Nguyen Hong Thinh
Duc-Nghia Tran
2020-12-25T11:15:15Z
2020-12-25T11:15:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4335
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4335
2020-12-25T11:15:15Z
On the Gaussian Cramér-Rao Bound for Blind Single-Input
Multiple-Output System Identification: Fast and Asymptotic
Computations
The Cramér-Rao Bound (CRB) is a powerful tool to assess the performance limits of a parameter estimation problem for a given statistical model. In particular, the Gaussian CRB (i.e., the CRB obtained assuming the data are Gaussian) corresponds to the worst case; giving the largest CRB among a large class of data distributions. This makes it very useful in practice since optimizing under the Gaussian data assumption can be interpreted as a min-max optimization (i.e., minimizing the largest CRB). The Gaussian CRB is also the corresponding bound of Second-Order Statistics (SOS)-based estimation methods, which are frequently used in practice. Despite its practicality, computing this bound might be cumbersome in some cases, particularly in the case where the input is assumed deterministic and has a large number of samples. In this paper, we address this computational issue by proposing a fast computation for the deterministic Gaussian CRB of Single-Input Multiple Output (SIMO) blind system identification. More precisely, we exploit circulant matrix properties to reduce the cost from cubic to quadratic with respect to the sample size. Moreover, we derive a closed-form formula for the asymptotic (large sample size) Gaussian CRB and show how it can be computed using the residue theorem.
Nait-Meziane Mohamed
Abed Meraim Karim
karim.abed-meraim@univ-orleans.fr
Zhao Zhipeng
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2020-12-25T10:22:48Z
2020-12-26T05:21:54Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4333
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4333
2020-12-25T10:22:48Z
A Comprehensive Survey of Enabling and Emerging Technologies for Social Distancing——Part II: Emerging Technologies and Open Issues
This two-part paper aims to provide a comprehensive survey on how emerging technologies, e.g., wireless and networking, artificial intelligence (AI) can enable, encourage, and even enforce social distancing practice. In Part I, an extensive background of social distancing is provided, and enabling wireless technologies are thoroughly surveyed. In this Part II, emerging technologies such as machine learning, computer vision, thermal, ultrasound, etc., are introduced. These technologies open many new solutions and directions to deal with problems in social distancing, e.g., symptom prediction, detection and monitoring quarantined people, and contact tracing. Finally, we discuss open issues and challenges (e.g., privacy-preserving, scheduling, and incentive mechanisms) in implementing social distancing in practice. As an example, instead of reacting with ad-hoc responses to COVID-19-like pandemics in the future, smart infrastructures (e.g., next-generation wireless systems like 6G, smart home/building, smart city, intelligent transportation systems) should incorporate a pandemic mode in their standard architectures/designs.
Thanh Cong Nguyen
Saputra Yuris Mulya
YurisMulya.Saputra@student.uts.edu.au
Van Nguyen Huynh
Ngoc Tan Nguyen
nguyen.tan170@gmail.com
Viet Khoa Tran
khoatv.uet@vnu.edu.vn
Tuan Bui Minh
tuanbm.uet@vnu.edu.vn
Nguyen Diep
Diep.Nguyen@uts.edu.au
Thai Hoang Dinh
Hoang.Dinh@uts.edu.au
Xuan Thang Vu
thang.vu85@gmail.com
Dutkiewicz Eryk
eryk.dutkiewicz@uts.edu.au
Chatzinotas Symeon
Ottersten Bjorn
2020-12-25T10:12:57Z
2020-12-25T10:12:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4332
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4332
2020-12-25T10:12:57Z
A Comprehensive Survey of Enabling and Emerging Technologies for Social Distancing—Part I: Fundamentals and Enabling Technologies
Social distancing plays a pivotal role in preventing the spread of viral diseases illnesses such as COVID-19. By minimizing the close physical contact among people, we can reduce the chances of catching the virus and spreading it across the community. This two-part paper aims to provide a comprehensive survey on how emerging technologies, e.g., wireless and networking, artificial intelligence (AI) can enable, encourage, and even enforce social distancing practice. In this Part I, we provide a comprehensive background of social distancing including basic concepts, measurements, models, and propose various practical social distancing scenarios. We then discuss enabling wireless technologies which are especially effectin social distancing, e.g., symptom prediction, detection and monitoring quarantined people, and contact tracing. The companion paper Part II surveys other emerging and related technologies, such as machine learning, computer vision, thermal, ultrasound, etc., and discusses open issues and challenges (e.g., privacypreserving, scheduling, and incentive mechanisms) in implementing social distancing in practice.
Thanh Cong Nguyen
Saputra Yuris Mulya
YurisMulya.Saputra@student.uts.edu.au
Ngoc Tan Nguyen
nguyen.tan170@gmail.com
Viet Khoa Tran
khoatv.uet@vnu.edu.vn
Minh Tuan Bui
tuanbm.uet@vnu.edu.vn
Nguyen Diep
Thai Hoang Dinh
Xuan Thang Vu
thang.vu85@gmail.com
Dutkiewicz Eryk
eryk.dutkiewicz@uts.edu.au
Chatzinotas Symeon
Ottersten Bjorn
2020-12-23T03:41:50Z
2020-12-23T03:52:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4314
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4314
2020-12-23T03:41:50Z
Analysing outage probability of linear a
non-linear RF energy harvesting of
cooperative communication networks
In this study, a dual-hop cooperative communication system with radio frequency (RF) energy harvesting was
investigated in two cases of linear and non-linear energy harvesting models. In the proposed system, the signal is transmitted
directly from a source node to a destination node or sent with support of selected relay nodes. While both the source node and
the destination node are powered normally, the relay nodes are powered by harvesting technique. To choose the best relay
node, a selection combination method was applied at the destination node in both cases of amplify-and-forward and decodeand-forward protocols. To evaluate the system performance, the outage probability of the cooperative communications over
independent identically distributed Nakagami-m was derived and analysed with arbitrary m parameter whereas this parameter
was fixed to be integral value in previous research studies. Furthermore, the approximate and asymptotic operations are applied
to simplify the outage probability expressions. The simulation program was developed based on the Monte Carlo method and
MATLAB software with two aims of evaluating the system performance and verifying the theoretical results. The simulation
results demonstrate that the analysis and evaluation of the study are considerably accurate.
Van Son Vu
sonvv@gmail.com
Trieu Duong Dinh
duongdt@vnu.edu.vn
Manh Hoang Tran
Thanh Quan Do
Thanh Hiep Pham
phamthanhhiep@gmail.com
2020-12-18T09:07:40Z
2020-12-18T09:07:40Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4288
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4288
2020-12-18T09:07:40Z
A model for building probabilistic knowledge-based systems using divergence distances
The knowledge-based systems (KBSs) in general and solving the knowledge merging problem in particular have seen a great surge of research activity in recent years. However, there still exist two main shortcomings that need to be addressed in the probabilistic framework. Firstly, the current methods only deal with the problems in which original probabilistic knowledge bases (PKBs) are required to be consistent and formed in the same structure. It is a very strong requirement and difficult to apply in practice. Secondly, only a few measures of distance between probability distributions have been studied to apply in existing models. To overcome these disadvantages, in this paper, we introduce a novel framework for merging PKBs. To this end, a theoretical model is introduced and several experiments are implemented. In theoretical model, some theorems are pointed out and proved to provide mathematical background to construct the merging model. Moreover, a deep survey on how to employ divergence distance functions (DDFs) between probability distributions to carry out the merging process are performed. In experimental aspect, a consistency recovery algorithm and some merging algorithms based on DDFs are proposed. Through the results of conducted experiments, issues about the time cost of merging process, the number of iterations, and CPU Time Elapsed parameter to solve the class of optimization problems in the merging process are analyzed, compared, and evaluated.
Van Tham Nguyen
thamnv.nute@gmail.com
Ngoc Thanh Nguyen
ngoc-thanh.nguyen@pwr.wroc.pl
Trong Hieu Tran
hieutt@vnu.edu.vn
2020-12-17T05:22:04Z
2020-12-17T05:22:04Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4281
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4281
2020-12-17T05:22:04Z
On the proof of recursive Vogler algorithm for multiple knife-edge diffraction
We consider the problem of multiple knife-edge diffraction estimation which is a fundamental task in many wireless communication applications. So far, one of the most accurate methods for this problem is the Vogler one whose recursive implementation is efficient to reduce the high computational complexity of the direct one. However, in the original report, Vogler only presented the final result of the recursive algorithm without a rigorous mathematical proof, thus making the method difficult to understand and implement in practice. To tackle this shortcoming, we first analyze the mathematical structure of the problem and then present a formal proof of the result. To gain intuition of the proof and the key steps, we provide a simplified study case of four knife-edges. The insight from our proposed analysis and proof can be used to obtain a comprehensive interpretation, initiate a practical implementation and develop new efficient algorithms with similar structure.
Viet Dung Nguyen
nvdung@vnu.edu.vn
Huy Phan
Ali Mansour
Arnaud Coatanhay
Thierry Marsault
2020-12-13T15:49:19Z
2022-10-26T21:59:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4163
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4163
2020-12-13T15:49:19Z
Thermal stability of meso-substituted metal corroles in inert and oxidative media
Vu Thi Thao
D. R. Karimov
S. S. Guseinov
E. V. Balantseva
D. B. Berezin
2020-12-13T15:48:54Z
2022-10-26T21:59:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4162
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4162
2020-12-13T15:48:54Z
Synthesis, chemical stability, and electrocatalytic properties of zinc(II) and cobalt(II) complexes of N-methyltetraphenylporphine
D. B. Berezin
Vu Thi Txao
A. A. Azorina
O. V. Shukhto
S. S. Guseinov
N. M. Berezina
2020-12-13T15:48:28Z
2022-10-26T21:59:23Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4161
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4161
2020-12-13T15:48:28Z
Kinetic stability of corrole complexes with manganese, copper, and zinc in environments based on acetic and sulfuric acids
D. B. Berezin
O. V. Shukhto
Vu Thi Thao
D. R. Karimov
B. D. Berezin
2020-12-11T23:18:36Z
2020-12-14T05:05:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4246
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4246
2020-12-11T23:18:36Z
Short time cardio-vascular pulses estimation for dengue fever screening via continuous-wave Doppler radar using empirical mode decomposition and continuous wavelet transform
Dinh Chinh Nguyen
chinhnd@vnu.edu.vn
Manh Ha Luu
halm@vnu.edu.vn
Sun Guanghao
guanghao.sun@uec.ac.jp
Quoc Anh Le
quocanh.uet@gmail.com
Thi Viet Huong Pham
huongpv@vnu.edu.vn
Anh Vu Tran
anhvu77@gmail.com
Trong Hieu Tran
hieutt@vnu.edu.vn
Duc Tan Tran
tan.tranduc@phenikaa-uni.edu.vn
Vu Trung Nguyen
nguyenvutrung@hmu.edu.vn
Ishibashi Koichiro
ishibashi@uec.ac.jp
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2020-12-11T01:40:30Z
2021-03-24T04:44:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4233
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4233
2020-12-11T01:40:30Z
Early SKIP mode decision for HEVC with Hierarchical coding structure
To meet real-time video communications, an investigation of early SKIP mode decisions is still important in many practical applications of High-Efficiency Video Coding (HEVC) encoders. On the other hand, in most current state-of-the-art early SKIP mode decision methods, the temporal layer index (TId), which is commonly used in the hierarchical coding structure, has not been fully exploited. In this regard, this paper proposes a novel early SKIP mode decision method for a HEVC encoder using TId-based rate-distortion (RD) modeling. In the proposed method, two new SKIP mode-checking conditions were introduced based on statistical analysis on TId and the RD-cost correlation. The experimental results showed that the proposed early SKIP mode decision method outperformed the relevant state-of-the-art HEVC complexity reduction methods significantly, with an encoding time saving of approximately 47% while having a BD-rate loss of only 0.45%.
Hoang Van Xiem
xiemhoang@vnu.edu.vn
Dinh Bao Minh
minhdinh@vnu.edu.vn
Jeon Byeungwoo
bjeon@skku.edu
2020-12-11T01:38:52Z
2020-12-23T03:15:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4226
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4226
2020-12-11T01:38:52Z
Structural, Optical, Ferroelectric and Ferromagnetic Properties of Bi1xGdxFeO3 Materials
Bi1xGdxFeO3 (BGFO) (x = 0.00 7 0.15) materials were prepared by a sol-gel method. The effect of Gd doping on the structural, ferromagnetic, and ferroelectric properties of BiFeO3 (BFO) were analyzed using x-ray diffraction, Raman scattering, energy-dispersive x-ray spectroscopy, ferroelectric hysteresis loop and magnetic hysteresis loop measurements. All samples showed
a rhombohedral structure of the perovskite type. The a and c lattice parameters decreased with Gd content, obeying Vegard’s law, from 5.583 A˚ to 5.511
A˚ , and from 13.869 A˚ to 13.741 A˚ , respectively. The optical band gap (Eg) also decreased with Gd content, from 2.02 eV to 1.60 eV at x = 0.00 to x = 0.15,
respectively. The ferroelectric and ferromagnetic properties of the BGFO materials were enhanced compared with those of the pure BFO material. Maximum saturation polarization (Ps) and saturation magnetization (Ms) values of 6.88 lC/cm2 and 0.386 emu/g were obtained. We found that the optimum Gd doping content to enhance multiferroic properties of BFO material is in range from x = 0.10 to x = 0.125. The origin of ferromagnetic
and ferroelectric properties of BGFO materials were also discussed.
Dinh Tu Bui
buidinhtu@vnu.edu.vn
2020-12-11T01:37:56Z
2020-12-11T01:37:56Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4209
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4209
2020-12-11T01:37:56Z
Fabrication and characteristics of Zn1–xSnxO nanorod/ITO composite photocatalytic films
Zn1−xSnxO NRs/ITO composite photocatalytic films were fabricated by the hydrothermal method. A
concentration of Sn dopant in Zn1−xSnxO nanorods(NRs)was varied from 0% to 7%. The structural
and surface morphology characteristics of Zn1−xSnxO NRs/ITO composite photocatalytic films were
investigated by X-Ray diffraction (XRD) and scanning electron microscopy (SEM), respectively. In
addition, photocatalytic properties of synthesized materials were evaluated by degradation rates of
Rhodamine-B aqueous solutions under UV light irradiation. The SEM results indicated that, with an
increasing concentration of Sn dopant in Zn1−xSnxO NRs/ITO, the effective surface areas were
declined by an exponential decay function and the reduction was negligible as the Sn doping
concentration was higher than 3%. With the similarity in effective surface area, the contribution of Sn
in the enhancement of the photocatalytic activity of Zn0.93Sn0.07O NRs/ITO is clearly observed with
41% improvement in comparison to ZnO NRs/ITO.
Thi Dung Nguyen
Tran Chien Dang
Duc Thien Trinh
Anh Tuan Duong
Duc Thang Pham
Nang Dinh Nguyen
Dinh Lam Nguyen
2020-12-11T01:37:43Z
2020-12-26T14:26:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4208
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4208
2020-12-11T01:37:43Z
Experimental and numerical study on photocatalytic activity of the ZnO nanorods/CuO composite film
The photocatalytic activity of the ZnO NRs/CuO composite film was investigated by using both experimental and numerical methods. The ZnO NRs/CuO composite film exhibits significantly enlarge absorption range to visible-light and suppress the recombination rate of the photogenerated electron-hole pairs, which can be well utilized as a photocatalyst. The ZnO NRs/CuO composite film also presents good stability, and reusability, and durability for photo-decomposition purpose. The optimal ZnO NRs/CuO composite film contains 1μ-thick of CuO film and 10 nm-thick of ZnO NRs film. The donor concentration in ZnO NRs film should be lower than 1016 cm−3. The short circuit current density of the optimal composite film is 25.8 mA/cm2 resulting in the calculated pseudo-order rate constant of 1.85 s−1. The enhancement in degradation efficiency of this composite film is attributed to the inner electric field and large effective surface area of ZnO NRs film.
Thi Dung Nguyen
dungtnguyen@vnu.edu
Minh Duc Tran
minhductran911@gmail.com
Van Thanh Hoang
hoangvanthanh12b4ksa2015@gmail.com
Duc Thien Trinh
Duc Thang Pham
thangducpham@yahoo.com
Dinh Lam Nguyen
Lamnd2005@gmail.com
2020-12-10T03:45:32Z
2020-12-10T05:17:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4221
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4221
2020-12-10T03:45:32Z
mPartition: A Model‐Based Method for Partitioning Alignments
Thu Le
Sy Vinh Le
vinhls@vnu.edu.vn
2020-12-10T03:40:44Z
2020-12-10T03:40:44Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4219
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4219
2020-12-10T03:40:44Z
FLAVI: An Amino Acid Substitution Model for Flaviviruses
Thu Nguyen
Le Sy Vinh
vinhls@vnu.edu.vn
2020-12-08T15:31:12Z
2020-12-08T15:31:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4197
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4197
2020-12-08T15:31:12Z
End-to-end Image Patch Quality Assessment for Image/Video with Compression Artifacts
In this paper, we present an experimental image quality assessment (IQA) method for image/ video patches with compression artifacts. Using the High Efficiency Video Coding (HEVC) standard, we create a new database of image patches with compression artifacts. Then, we conduct a completed subjective testing process to obtain the ‘ground truth’ quality scores for the mentioned database. Finally, we employ an end-to-end learning method to estimate the IQA model for the patches with HEVC compression artifacts. In such proposed method, a modified convolutional neural network (CNN) architecture is exploited for feature extraction while an adaptive moment estimation optimizer solution is used to perform the training process. Experimental results show that the proposed end-to-end IQA method significantly outperforms the relevant IQA benchmarks, especially when the compression artifacts are strongly realized.
Pham Thanh Tung
tung@vinafire.com.vn
Van Hoang Xiem
xiemhoang@vnu.edu.vn
Nguyen Trung Nghia
Dinh Trieu Duong
Le Thanh Ha
2020-12-08T15:31:06Z
2020-12-08T15:31:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4201
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4201
2020-12-08T15:31:06Z
Adaptive Content Frame skipping for Wyner-Ziv based Light Field Image Compression
Light field (LF) imaging introduces attractive possibilities for digital imaging, such as digital focusing, post-capture changing of the focal plane or view point, and scene depth estimation, by capturing both spatial and angular information of incident light rays. However, LF image compression is still a great challenge, not only due to light field imagery requiring a large amount of storage space and a large transmission bandwidth, but also due to the complexity requirements of various applications. In this paper, we propose a novel LF adaptive content frame skipping compression solution by following a Wyner–Ziv (WZ) coding approach. In the proposed coding approach, the LF image is firstly converted into a four-dimensional LF (4D-LF) data format. To achieve good compression performance, we select an efficient scanning mechanism to generate a 4D-LF pseudo-sequence by analyzing the content of the LF image with different scanning methods. In addition, to further explore the high frame correlation of the 4D-LF pseudo-sequence, we introduce an adaptive frame skipping algorithm followed by decision tree techniques based on the LF characteristics, e.g., the depth of field and angular information. The experimental results show that the proposed WZ-LF coding solution achieves outstanding rate distortion (RD) performance while having less computational complexity. Notably, a bit rate saving of 53% is achieved compared to the standard high-efficiency video coding (HEVC) Intra codec.
Phi Cong Huy
Perry Stuart
HoangVan Xiem
xiemhoang@vnu.edu.vn
2020-12-08T15:31:03Z
2020-12-08T15:31:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4200
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4200
2020-12-08T15:31:03Z
Versatile Video Coding based Quality Scalability with Joint Layer Reference
Scalability is an essential coding feature for adaptive video streaming applications, notably considering the growing heterogeneity of the transmission, display and consumption environments. Versatile video coding (VVC) is the emerging video coding standard, targeting offering higher compression efficiency regarding previous standards to further facilitate already available and novel video applications, notably at higher spatial resolutions. In this context, this paper proposes the first VVC-based quality scalability extension, targeting to offer higher compression efficiency than the native VVC quality scalability solution. The proposed Quality Scalable Versatile Video Coding (QS-VVC) solution is designed based on a layered coding approach with one base layer (BL) and one or more enhancement layers (EL). To achieve higher compression performance, a novel joint layer referencing approach is proposed where the base and enhancement layers decoded information are jointly exploited to create a new EL coding reference. Experimental results shown that the proposed QS-VVC codec outperforms the most relevant benchmarks, notably VVC-based simulcasting, native VVC quality scalability, and the previous Scalable
HoangVan Xiem
xiemhoang@vnu.edu.vn
Nguyen Quang Sang
Jeon Byeungwoo
2020-12-08T09:33:08Z
2021-06-28T02:41:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4148
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4148
2020-12-08T09:33:08Z
Nanomaterials for Organic Optoelectronic Devices: Organic Light-Emitting Diodes,
Organics Solar Cells and Organic Gas Sensors
This paper presents a unified view on applied nanomaterials that have been developed for a group of organic optoelectronic devices such as
Organic Light-Emitting Diodes (OLEDs), Solar Cells (OSCs) and Gas Sensors (OGSs). From recent references, included our unpublished one, it
has been demonstrated that nanostructured particles of metals, semiconductors, and oxides in conducting polymers embedded in conducting
polymers have significantly contributed to improving both the performance parameters and working time of devices. The presence of inorganic
nanoparticles in polymeric matrices has strongly influenced all physical properties of the polymers. However, herein the most interesting
properties of OLEDs, OSCs, and OGSs are of electro-luminescence, photo-electrical conversion, and gas sensing, respectively. A publication has
been seen regarding the nanostructured materials used for the fabrication of nanocomposite devices which aim at different practical purposes.
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
2020-12-08T09:29:38Z
2020-12-08T09:29:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4141
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4141
2020-12-08T09:29:38Z
Development of a microfluidic flow-focusing droplet generating device utilising rapid prototyping technique
In the recent decades, droplet-based microfluidics has emerged as a promising research area which covers various applications in a broad spectrum of fields such as chemical reactions, drug discovery, molecule synthesis and cell biology. This study proposes the implementation of a microfluidic droplet generating prototype using the popular flow-focusing geometry. The proposed system is fabricated based on a rapid prototyping technique, which significantly simplifies the fabrication process, reduces the production cost and shortens the time-to-market as compared with traditional techniques. The functionalities and characteristics of the prototype were carefully verified by simulations and practical experiments. The obtained results showed that the generation of droplets could be precisely controlled by adjusting the flow rate ratio between the dispersed phase and the continuous phase.
Xuan Loc Pham
xuanloc97ars@vnu.edu.vn
Quang Loc Do
locdq@gmail.com
Quoc Tuan Vu
tvu.tuan@gmail.com
Nhu Cuong Nguyen
cuongnn241@gmail.com
Thu Hang Nguyen
hang021197@gmail.com
Thanh Hang Tran
hangtt.uet@vnu.edu.vn
Ngoc An Nguyen
ngocan@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
2020-12-08T09:29:25Z
2020-12-08T09:29:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4138
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4138
2020-12-08T09:29:25Z
Electrostatic modulation of a photonic crystal resonant filter
We demonstrate continuous and reversible spectral modulation of a photonic crystal cavity resonant mode using electrostatic force. The design and simulation of an H0 cavity were done using finite-element analysis methods. Fabrication of the sample device was done in a cleanroom using state-of-the-art nanotechnologies that are compatible with fabrication techniques for complementary metal-oxide semiconductors and microelectromechanical systems. Upon the change of the applied bias voltage, the resonant tuning effect is quantitatively demonstrated. Furthermore, the dynamic response of the transmission output intensity with respect to the oscillating applied voltage is demonstrated.
Minh Hoang Nguyen
hoang.photonics@gmail.com
Thanh Tung Bui
tungbt@vnu.edu.vn
2020-12-08T09:28:41Z
2020-12-08T09:28:41Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4136
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4136
2020-12-08T09:28:41Z
An electrohydrodynamic gyroscope
A novel configuration of gyroscope using an electro-hydrodynamics flow circulating in a confined space
is developed. The configuration includes three corona discharge based actuators to generate ionic flows inside three separated sub-channels which are connected to the main chamber. Ionic flows are merged together while they move through a nozzle installed at the main chamber entrance. After the merging phase, the conflated flow is diverged to move back to sub-channels where each accelerates at the discharge actuator. A master ring is installed at the end of the nozzle to remove any residual charge of the conflated ion flow. The ion flow velocity is measured using several hotwires installed in the main chamber. Since the configuration does not require any vibrating components, the present device is robust,
cost-effective and consumes low power, hence, very potential in application of inertial sensing.
Van Ngoc Tran
vanngoc@gmail.com
Thanh Van Dau
v.dau@griffith.edu.au
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Xuan Thien Dinh
thien@cfd.ritsumei.ac.jp
Thanh Hoa Phan
p.hoa@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
2020-12-08T09:28:32Z
2020-12-08T09:28:32Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4134
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4134
2020-12-08T09:28:32Z
A new structure of Tesla coupled nozzle in synthetic jet micro-pump
Synthetic jet pump is a potential technique to improve the pumping performance by introducing a smart structure which can enhance counter-rotating vortexes. Aligning with this approach, a new structure of valveless micro-pump is developed in this work. The mechanism of the present micro-pump is based on the combination of the nozzle and a tesla element to rectify the synthetic jet. The new structure of “ace of spades” nozzle, named Tesla coupled nozzle, creates series of vortexes at the orifice of the pump chamber that allows to attenuate the reversed flows while fluid is drawn through the pump. The present
micro-pump is simple but robust and can perform at rather low voltages.
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Hong Phuc Pham
phucph-fme@mail.hut.edu.vn
Tuan-Khoa Nguyen
khoa.nguyentuan@griffithuni.edu.au
Hoang-Phuong Phan
phuong.phanhoang@griffithuni.edu.au
Toan Dinh
toan.dinh@griffithuni.edu.au
Thanh Viet Nguyen
thanh.nguyenviet@griffithuni.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
Nam-Trung Nguyen
nam-trung.nguyenEmailgriffith.edu.au
Dzung Viet Dao
d.dao@griffith.edu.au
Thanh Van Dau
v.dau@griffith.edu.au
2020-12-08T09:28:16Z
2020-12-08T09:28:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4132
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4132
2020-12-08T09:28:16Z
Simulation and Experimental Study of a Synthetic Jet Valveless Pump
A valveless microfluidic pump using a Lead Zirconate Titanate (PZT) diaphragm-actuated synthetic jet is developed and fabricated. For this present design, a valveless pump structure is developed in which the pump chamber is sealed one side and connected to an emitting nozzle at another side. The design is simulated using the multi-physics approach and then successfully investigated with a prototype produced by a low-cost additive fabrication technique. The device’s parameters including
the liquid pumping characteristics and the size of PZT membrane are optimized based on its desired performance. The developed device can be applied over a wide range of applications from micro-mixing to fluidic controlling.
Luan Le Van
luanlv@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
Nhu Cuong Nguyen
cuongnn241@gmail.com
Ngoc An Nguyen
ngocan@vnu.edu.vn
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Bao Lam Dang
lam.dangbao@hust.edu.vn
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Van Dau
v.dau@griffith.edu.au
2020-12-08T09:28:03Z
2020-12-08T09:28:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4133
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4133
2020-12-08T09:28:03Z
Study on Design Optimization of a Symmetry Two-Axis Tilt Angle Capacitive Sensor
This paper presents the design, optimization, fabrication and characterization of a symmetrical twoaxis tilt angle sensor. The sensor consists of a globe-calotte-shaped excitation electrode and two pairs of sensing electrodes of the same dimensions, positioned symmetrically on a 3D printed hollow sphere. The sphere is filled with oil and air, and then mounted on the surface of a printed circuit board (PCB) for mechanical packaging and measurement. Experimental results show that the sensor can measure the tilt angle in both the x- and y-axes with sensitivity of 124 mV/° and resolution of ±0.15° in the range from −35° to 35°. The characteristics of the sensor on the x- and y-axes are similar. The proposed sensor can be used in several applications.
Dac Hai Nguyen
haind75@gmail.com
Thi Thuy Ha Tran
tttha@gmail.com
Quoc Tuan Vu
vqtuan0211@gmail.com
Van Thai Le
thailv@haui.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
Ngoc An Nguyen
ngocan@vnu.edu.vn
2020-12-08T09:17:50Z
2020-12-08T09:17:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4176
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4176
2020-12-08T09:17:50Z
A Deep Wavelet Sparse Autoencoder Method for Online and Automatic Electrooculographical Artifact Removal
The Hoang Anh Nguyen
The Duy Bui
duybt@vnu.edu.vn
Thanh Ha Le
ltha@vnu.edu.vn
2020-12-07T14:07:31Z
2020-12-21T09:33:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4155
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4155
2020-12-07T14:07:31Z
PCA-Based Robust Motion Data Recovery
Human motion tracking is a prevalent technique in many fields. A common difficulty encountered in motion tracking is the corrupted data is caused by detachment of markers in 3D motion data or occlusion in 2D tracking data. Most methods for missing markers problem may quickly become ineffective when gaps exist in the trajectories of multiple markers for an extended duration. In this paper, we propose the principal component eigenspace based gap filling methods that leverage a training sample set for estimation. The proposed method is especially beneficial in the scenario of motion data with less predictable or repeated movement patterns, and that of even missing entire frames within an interval of a sequence. To highlight algorithm robustness, we perform algorithms on twenty test samples for comparison. The experimental results show that our methods are numerical stable and fast to work.
Zhuorong Li
Hongchuan Yu
Hai Dang Kieu
Tung Long Vuong
Jian Jun Zhang
2020-12-07T04:19:27Z
2020-12-07T04:19:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4146
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4146
2020-12-07T04:19:27Z
Generative Software Module Development for Domain-Driven Design with Annotation-Based Domain Specific Language
Minh Duc Le
Duc Hanh Dang
hanhdd@vnu.edu.vn
Viet Ha Nguyen
hanv@vnu.edu.vn
2020-12-04T08:25:59Z
2020-12-04T08:25:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4118
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4118
2020-12-04T08:25:59Z
The effect of cracks and thermal environment on free vibration of FGM plates
The free vibration parameters of cracked functionally graded material (FGM) plates with nonlinear varying thickness according to the symmetric parabola function under temperature is studied in this paper. The temperature transfers through the thickness of the FGM plate and material properties of the plate are distributed power law in the thickness direction and depend on the temperature. The formulas were developed based on Shi's third-order shear deformation theory and the phase field theory in destructive mechanics. The results of the present approach are compared with the existing literature for the validation. The free vibration frequencies of the plate are calculated depending on various parameters such as crack length, crack angle, plate length ratio, temperature, volume fraction index and boundary conditions. In addition, the effect of plate edges and symmetrical parabolic thickness shapes on the natural frequencies of FGM plates are also studied.
Minh Phuc Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-12-04T08:25:31Z
2020-12-04T08:25:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4116
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4116
2020-12-04T08:25:31Z
Vibration and nonlinear dynamic analysis of sandwich FG-CNTRC plate with porous core layer
This paper focuses on the influence of CNTs, porosity, mechanical and thermal loading on the vibration and dynamic response of the sandwich functionally graded carbon nanotube-reinforced composite (FG-CNTRC) composite plate. The plate is made by three layers in which the core layer is porous FGM materials, bottom and top surfaces are FG-CNTRC. The motion equations are given based on Hamilton’s principle, TSDT, Galerkin method and the fourth-order Runge–Kutta method. The numerical illustration is shown to examine the influence of various parameters such as porosity distribution, CNTs volume fraction, geometrical parameters, elastic foundations, temperature, mechanical loads on the dynamic behaviors of the plate.
Dinh Dat Ngo
Van Thanh Nguyen
Minh Anh Vu
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-12-04T08:25:20Z
2020-12-04T08:25:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4114
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4114
2020-12-04T08:25:20Z
An analytical approach for nonlinear thermo-electro-elastic forced vibration of piezoelectric penta – Graphene plates
This paper deals with the nonlinear forced vibration of imperfect penta – graphene plates integrated with piezoelectric actuator layers. The plate is subjected to combination of mechanical, thermal, and electrical loadings. Based on the first order shear deformation plate theory, the governing equations are established taken into account the effect of the von Kármán type of geometrical nonlinearity, the Pasternak type elastic foundations, the damping and the piezoelectric – thermal effects. Four edges of the hybrid plate are assumed to be simply supported and immovable in the in-plane directions. The solution forms that satisfy the boundary conditions are assumed to be trigonometric. The closed form expressions of natural frequency, the frequency ratio – amplitude and the deflection amplitude – time curves are obtained by using the Galerkin and Runge – Kutta methods. The numerical results show positive effects of elastic foundations, negative effect of temperature increment and initial imperfection, considerable effect of geometrical parameters as well as small effect of applied voltage on the nonlinear forced vibration of piezoelectric penta – graphene plate.
Quoc Quan Tran
Van Quyen Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-12-04T08:24:31Z
2020-12-04T08:24:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4113
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4113
2020-12-04T08:24:31Z
Nonlinear buckling and post-buckling behavior of shear deformable sandwich toroidal shell segments with functionally graded core subjected to axial compression and thermal loads
This paper presents an analytical investigation on buckling and post-buckling of shear deformable sandwich toroidal shell segments with functionally graded core and homogeneous face sheets. The effective material properties of core layer are graded in the thickness direction according to a simple power law distribution in terms of volume fraction index. The shells are surrounded by an elastic foundation and subjected to axial compressive or thermal loads. Reddy's third-order shear deformation shell theory (TSDT) is used to established formulations. A new solution using Galerkin method for solving a governing system of four-partial differential equations is carried out to obtain closed-form expressions of buckling stresses and post-buckling curves. Two cases of bowed-out and bowed-in sandwich toroidal shells are considered. Effects of material, geometric parameters and elastic foundation on stability behavior of the shells is analyzed in detail. The difference between bucking stresses basing on TSDT and those basing on classical shell theory (CST) is also clearly shown in this study.
Minh Vuong Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-12-04T08:24:16Z
2020-12-04T08:24:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4112
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4112
2020-12-04T08:24:16Z
Bending and free vibration analyses of functionally graded material nanoplates via a novel nonlocal single variable shear deformation plate theory
A novel nonlocal shear deformation theory is established to investigate functionally graded nanoplates. The significant benefit of this theory is that it consists of only one unknown variable in its displacement formula and governing differential equation, but it can take into account both the quadratic distribution of the shear strains and stresses through the plate thickness as well as the small-scale effects on nanostructures. The numerical solutions of simply supported rectangular functionally graded material nanoplates are carried out by applying the Navier procedure. To indicate the accuracy and convergence of this theory, the present solutions have been compared with other published results. Furthermore, a deep parameter study is also carried out to exhibit the influence of some parameters on the response of the functionally graded material nanoplates.
Kha Hoa Le
Van Vinh Pham
vinhpv@gmail.com
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Thoi Trung Nguyen
Truong Son Le
Van Thom Do
2020-12-04T08:24:02Z
2020-12-04T08:24:02Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4111
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4111
2020-12-04T08:24:02Z
A first-principle study of nonlinear large amplitude vibration and global optimization of 3D penta-graphene plates based on the Bees Algorithm
Penta-graphene, a new monolayer of carbon atoms, has been synthesized with ideal strength and temperature resistance. However, the mechanical behavior of penta-graphene has not been fully investigated yet. This paper presents an analytical investigation on the nonlinear large amplitude vibration of imperfect three-dimensional (3D) penta-graphene plates subjected to uniformly distributed external pressure with simply supported and immovable edges in thermal environments. The elastic constants and the thermal expansion coefficients of the 3D penta-graphene plate are determined using the density functional theory. The motion and compatibility equations are established based on the Reddy’s higher-order shear deformation plate theory in which the effect of von Karman nonlinear terms, the initial imperfection and the Pasternak elastic foundation are taken into consideration. The Galerkin method is applied to determine the closed-form expressions of linear frequency and nonlinear to linear frequency ratio while the dynamic response of the plate is obtained by using the fourth-order Runge–Kutta method. The Bees Algorithm is used to determine the optimum value of the natural frequency which depends on five variables including the thickness, the length and the width of penta-graphene plates and two stiffness coefficients of elastic foundations. The numerical results show the effects of width-to-thickness ratio, elastic foundations coefficients, initial imperfection parameter and temperature increment on the nonlinear vibration of the 3D penta-graphene plates.
Dinh Dat Ngo
Quoc Quan Tran
Phuong Tran
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Tien Lam Pham
2020-12-04T08:23:43Z
2020-12-04T08:23:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4110
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4110
2020-12-04T08:23:43Z
Nonlinear static and dynamic stability of functionally graded toroidal shell segments under axial compression
This work presents an analytical approach to investigate nonlinear static and dynamic stability of toroidal shell segments resting on elastic foundation subjected to axial compression. The shells are made of functionally graded material (FGM) which created from metal and ceramic, and the volume fraction of constituents is supposed to gradually vary from one surface to another according to a power law function. Basic formulations are established based on Reddy's third-order shear deformation shell theory (TSDT) considering geometrical nonlinearity in von Kármán sense. Governing system of four-partial differential equations are converted into nonlinear differential equation using Galerkin method. Runge-Kutta method is used to solve nonlinear differential equation of motion and then nonlinear dynamic response of shell are examined. Budiansky-Roth criterion are used to obtain critical dynamic buckling load and then nonlinear dynamic stability of shells under axial compressive load linearly varying on time is analyzed. The influences of material and geometrical parameters, and elastic foundations on the static and dynamic stability of FGM toroidal sell segments are discussed in detail. The obtained results are validated by comparing with other results in the literature.
Minh Vuong Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-12-04T08:21:16Z
2020-12-04T08:21:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4117
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4117
2020-12-04T08:21:16Z
Nonlinear forced vibrations analysis of imperfect stiffened FG doubly curved shallow shell in thermal environment using multiple scales method
This study investigates the non-linear vibrations of stiffened imperfect functionally graded double-curved shallow shells, as rested on nonlinear elastic foundations. The shells are exposed to external harmonic excitation and are placed in the thermal situations. The modeling of shells is derived according to the classical shell theory and the non-linear geometric von Kármán relationships. It is considered that the distribution of material properties changes along the thickness direction based on a power law index. The smeared stiffener technique is considered to model the stiffened shells. An approximation, according to Galerkin’s approach, is utilized to reduction of the shell governing equations into the non-linear coupled ordinary differential relations. The ODE equations are analytically solved and analyzed through the perturbation methodology for investigating the resonance behavior of shells. Simulation results are reported to examine the influences of stiffeners, initial imperfection, foundation coefficients, thermal environment, and geometrical characteristics on the non-linear primary resonance response of doubly curved shallow shells. Also, the nonlinear dynamic behaviors are analyzed by numerical methods through the bifurcation diagrams, and the nonlinear dynamical behaviors of the shell for different value of parameters are examined.
Ahmadi Habib
Bayat Aliakbar
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-11-30T14:57:05Z
2020-11-30T14:57:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4095
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4095
2020-11-30T14:57:05Z
VeRA: Verifying RBAC and authorization constraints models of web applications
The software security issue is being paid great attention from the software development community as security violations have emerged variously. Developers
often use access control techniques to restrict some security breaches to software
systems’ resources. The addition of authorization constraints to the role-based
access control model increases the ability to express access rules in real-world
problems. In this paper, we introduce an approach to reviewing the implementation of these models in web applications written by JavaEE according to the MVC
architecture under the support of the Spring Security framework. The proposed
method helps developers detect flaws in the assignment implementation process
of the models. Firstly, the approach focuses on extracting the information about
users and roles from the database of the web application. We then analyze policy
configuration files to establish the access analysis tree of the system. Next, algorithms are introduced to validate the correctness of implemented user - role and
role - permission assignments in the application system against the role-based access control and authorization constraint specification by the SecureUML model.
Lastly, we developed a tool called VeRA, to automatically support the verification process. The tool has also experimented with a number of access violation
scenarios in the medical record management system.
Thanh Nhan Luong
Ninh Thuan Truong
thuantn@vnu.edu.vn
2020-11-30T07:03:47Z
2020-11-30T07:03:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4089
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4089
2020-11-30T07:03:47Z
Giant magnetoelectric effects in serial-parallel connected Metglas/PZT arrays with magnetostrictively homogeneous laminates
To ensure the magnetostrictive softness, the homogeneity, the decrease of the shear-lag effect and the
space-saving construction of narrowed longitudinal-transverse L-T magnetoelectric (ME) composites, a
novel parallel-connected-multi-bars (PCMB) geometry of PZT/Metglas is proposed and investigated by
simulation and experiment. In this case, Metglas layers are structured in different geometries from the
conventional single bar (c-SB) to conventional separated multiple bars (c-SMB), elongated separate
multi-bar (e-SMB) and n-magnetic-bar based PCMB (n-PCMB). This n-PCMB geometry divides the conventional
ME configuration into n parallel-connected ME units (n-PCMEU) according to the magnetic
geometries. The optimal ME performance with the largest ME voltage coefficient aE of 630 V/cm.Oe is
achieved in PCMEU with two Metglas bars (n = 2). The ME voltage coefficient can be further enhanced by
integrating m of these optimal PCMEUs in series to form a serial-parallel ME unit array m-S (n-PMEU)A.
The aE value increases by a factor of 3.6 and reaches 2.238 kV/cm.Oe for 4-S (2-PMEU)A, a factor that is
almost equal to m. The resulting 4-S (2-PMEU)A sensor possesses an extremely high sensitivity of
18.1 mV/nT, with a resolution of 10�1 nT.
Dinh Cuong Trinh
Viet Hung Nguyen
viethung1996vn@gmail.com
Le Ha Vu
Anh Tuan Phung
Dinh Duong Do
Anh Tam Ho
hoanhtam@vnu.edu.vn
Huu Duc Nguyen
ducnh@vnu.edu.vn
Thi Huong Giang Do
giangdth@vnu.edu.vn
2020-11-30T07:03:26Z
2020-11-30T07:03:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4088
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4088
2020-11-30T07:03:26Z
Magnetoelectric Vortex Magnetic Field Sensors Based
on the Metglas/PZT Laminates
This paper describes the route, from simulations toward experiments, for optimizing the
magnetoelectric (ME) geometries for vortex magnetic field sensors. The research is performed on the
base of the Metglas/Piezoelectric (PZT) laminates in both open and closed magnetic circuit (OMC and
CMC) geometries with di�erent widths (W), lengths (L), and diameters (D). Among these geometries,
the CMC laminates demonstrate advantages not only in their magnetic flux distribution, but also in
their sensitivity and in their independence of the position of the vortex center. In addition, the ME
voltage signal is found to be enhanced by increasing the magnetostrictive volume fraction. Optimal
issues are incorporated to realize a CMC-based ME double sandwich current sensor in the ring shape
with D � W = 6 mm � 1.5 mm and four layers of Metglas. At the resonant frequency of 174.4 kHz,
this sensor exhibits the record sensitivity of 5.426 V/A as compared to variety of devices such as the
CMC ME sensor family, fluxgate, magnetoresistive, and Hall-e�ect-based devices. It opens a potential
to commercialize a new generation of ME-based current and (or) vortex magnetic sensors.
Thi Huong Giang Do
giangdth@vnu.edu.vn
Anh Tam Ho
hoanhtam@vnu.edu.vn
Thi Ngoc Khanh Vu
Trong Vinh Nguyen
Anh Tuan Phung
Van Tuan Nguyen
Thi Ngoc Nguyen
Huu Duc Nguyen
ducnh@vnu.edu.vn
2020-11-26T04:47:14Z
2020-11-26T04:47:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4087
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4087
2020-11-26T04:47:14Z
FPGA-Based Lightweight Hardware Architecture of the PHOTON Hash Function for IoT Edge Devices
The design of cryptographic engines for the Internet of Things (IoT) edge devices and other ultralightweight devices is a crucial challenge. The emergence of such resource-constrained devices raises significant challenges to current cryptographic algorithms. PHOTON is an ultra-lightweight cryptographic hash function targeting low-resource devices. The currently implemented hardware architectures of PHOTON hash function utilize a large amount of resources and have low operating frequencies with a low rate of throughputs. Maximum operating frequency and throughput of PHOTON architecture can be improved but at the cost of larger area utilization. Therefore, to improve the area-performance trade-offs of PHOTON hash function, an iterative architecture is implemented in this work. The concern is with the most lightweight version of PHOTON hash function with the hash size of 80 bits. It is implemented and verified on several Xilinx and Altera Field Programmable Gate Array (FPGA) devices using their synthesis and simulation tools. Low-cost and high-processing FPGA devices were both considered. The design is optimized for performance, whereas the area utilization is also taken into consideration. The overall performance and logic utilization are benchmarked with the existing implementations. The results show an improvement rate of 10.26% to 51.04% in the speed performance and a reduction rate of 7.55% to 60.64% in area utilization compared to existing implementations of PHOTON hash functions.
Mohammed Omar Awadh Al-Shatari
m.alshatari@gmail.com
Fawnizu Azmadi Hussin
fawnizu@utp.edu.my
Azrina Abd Aziz
azrina_aaziz@utp.edu.my
Gunawan Witjaksono
gwitjaksono@binus.edu
Xuan Tu Tran
tutx@vnu.edu.vn
2020-10-13T08:45:45Z
2020-10-13T08:45:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4081
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4081
2020-10-13T08:45:45Z
Low-power High-performance 32-bit RISC-V Microcontroller on 65-nm Silicon-On-Thin-BOX (SOTB)
In this paper, a 32-bit RISC-V microcontroller in a 65-nm Silicon-On-Thin-BOX (SOTB) chip is presented. The system is developed based on the VexRiscv Central Processing Unit (CPU) with the Instruction Set Architecture (ISA) extensions of RV32IM. Besides the core processor, the System-on-Chip (SoC) contains 8KB of boot ROM, 64KB of on-chip memory, UART controller, SPI controller, timer, and GPIOs for LEDs and switches. The 8KB of boot ROM has 7KB of hard-code in combinational logics and 1KB of a stack in SRAM. The proposed SoC performs the Dhrystone and Coremark benchmarks with the results of 1.27 DMIPS/MHz and 2.4 Coremark/MHz, respectively. The layout occupies 1.32-mm2 of die area, which equivalents to 349,061 of NAND2 gate-counts. The 65-nm SOTB process is chosen not only because of its low-power feature but also because of the back-gate biasing technique that allows us to control the microcontroller to favor the low-power or the high-performance operations. The measurement results show that the highest operating frequency of 156-MHz is achieved at 1.2-V supply voltage (VDD) with +1.6-V back-gate bias voltage (VBB). The best power density of 33.4-µW/MHz is reached at 0.5-V VDD with +0.8-V VBB. The least current leakage of 3-nA is retrieved at 0.5-V VDD with -2.0-V VBB.
Trong Thuc Hoang
Ckristian Duran
Khai Duy Nguyen
Tuan Kiet Dang
Quang Nhu Quynh Nguyen
Phuc Hong Than
Xuan Tu Tran
tutx@vnu.edu.vn
Duc Hung Le
Akira Tsukamoto
Kuniyasu Suzaki
Cong Kha Pham
pham@ee.uec.ac.jp
2020-10-13T08:21:31Z
2020-10-13T08:21:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4075
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4075
2020-10-13T08:21:31Z
Motion-Encoded Particle Swarm Optimization for Moving Target Search Using UAVs
This paper presents a novel algorithm named the motion-encoded particle swarm optimization (MPSO) for finding a moving target with unmanned aerial vehicles (UAVs). From the Bayesian theory, the search problem can be converted to the optimization of a cost function that represents the probability of detecting the target. Here, the proposed MPSO is developed to solve that problem by encoding the search trajectory as a series of UAV motion paths evolving over the generation of particles in a PSO algorithm. This motion-encoded approach allows for preserving important properties of the swarm including the cognitive and social coherence, and thus resulting in better solutions. Results from extensive simulations with existing methods show that the proposed MPSO improves the detection performance by 24\% and time performance by 4.71 times compared to the original PSO, and moreover, also outperforms other state-of-the-art metaheuristic optimization algorithms including the artificial bee colony (ABC), ant colony optimization (ACO), genetic algorithm (GA), differential evolution (DE), and tree-seed algorithm (TSA) in most search scenarios. Experiments have been conducted with real UAVs in searching for a dynamic target in different scenarios to demonstrate MPSO merits in a practical application.
Manh Duong Phung
duongpm@vnu.edu.vn
Quang Ha
quang.ha@uts.edu.au
2020-10-09T07:10:25Z
2020-10-09T07:10:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4071
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4071
2020-10-09T07:10:25Z
Application of WRF-Chem to simulate air quality over Northern Vietnam
The WRF-Chem (Weather Research and Forecasting with Chemistry) model is implemented and validated against ground-based observations for meteorological and atmospheric variables for the first time in Northern Vietnam. The WRF-Chem model was based on HTAPv2 emission inventory with MOZCART chemical-aerosol mechanism to simulate atmospheric variables for winter (January) and summer (July) of 2014. The model satisfactorily reproduces meteorological fields, such as temperature 2 m above the ground and relative humidity 2 m above the ground at 45 NCHMF meteorological stations in January, but lower agreement was found in those simulations of July. PM10 and PM2.5 concentrations in January showed good temporal and spatial agreements to observations recorded at three CEM air monitoring stations in Phutho, Quangninh, and Hanoi, with correlation coefficients of 0.36 and 0.59. However, WRF-Chem model was underestimated with MFBs from − 27.9 to − 118.7% for PM10 levels and from − 34.2 to − 115.1% for PM2.5 levels. It has difficulty in capturing day-by-day variation of PM10 and PM2.5 concentrations at each station in July, but MFBs were in the range from − 27.1 to − 40.2% which is slightly lower than those in January. It suggested that further improvements of the model and local emission data are needed to reduce uncertainties in modeling the distribution of atmospheric pollutants. Assessment of biomass burning emission on air quality in summer was analyzed to highlight the application aspect of the WRF-Chem model. The study may serve as a reference for future air quality modeling using WRF-Chem in Vietnam.
Thi Nhu Ngoc Do
ngocdtn@fimo.edu.vn
Xuan Truong Ngo
truongnx@fimo.edu.vn
Van Ha Pham
hapv@fimo.edu.vn
Nhu Luan Vuong
luannv@cem.gov.vn
Hoang Anh Le
Chau Thuy Pham
Quang Hung Bui
hungbq@vnu.edu.vn
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
2020-09-14T02:55:49Z
2020-09-14T02:55:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4061
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4061
2020-09-14T02:55:49Z
A new constraint programming model and a linear programming-based adaptive large neighborhood search for the vehicle routing problem with synchronization constraints
We consider a vehicle routing problem which seeks to minimize cost subject to time window and synchronization constraints. In this problem, the fleet of vehicles is categorized into regular and special vehicles. Some customers require both vehicles’ services, whose service start times at the customer are synchronized. Despite its important real-world application, this problem has rarely been studied in the literature. To solve the problem, we propose a Constraint Programming (CP) model and an Adaptive Large Neighborhood Search (ALNS) in which the design of insertion operators is based on solving linear programming (LP) models to check the insertion feasibility. A number of acceleration techniques is also proposed to significantly reduce the computational time. The computational experiments show that our new CP model finds better solutions than an existing CP-based ALNS, when used on small instances with 25 customers and with a much shorter running time. Our LP-based ALNS dominates the CP-based ALNS, in terms of solution quality, when it provides solutions with better objective values, on average, for all instance classes. This demonstrates the advantage of using linear programming instead of constraint programming when dealing with a variant of vehicle routing problems with relatively tight constraints, which is often considered to be more favorable for CP-based methods. We also adapt our algorithm to solve a well-studied variant of the problem, and the obtained results show that the algorithm provides good solutions as state-of-the-art approaches and improves four best known solutions.
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
Tat Dat Nguyen
Duy Thinh Nguyen
Hoang Giang Pham
Thuy Do
Louis-Martin Rousseau
2020-09-14T02:55:14Z
2020-09-14T02:55:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4064
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4064
2020-09-14T02:55:14Z
Improvements on the performance of SCM/WDM-based RoF system
Radio over Fiber (RoF) techniques are good candidates to create the backbone of the next generation of wireless networks. Many parameters affect RoF communications such as amplified spontaneous emission noise (ASE), four-wave mixing nonlinearity (FWM), the modulation, channel spacing, switching voltage, and phase shifter. In this paper, we propose an improved model of RoF communication systems using subcarrier multiplexing/wavelength division multiplexing (SCM/WDM) technique with unequal channel spacing and 1-km Erbium-doped fiber amplifier (EDFA). Simulation results confirmed that we could obtain the lowest bit error rate and noises when the EDFA is placed at 1 km from the transmitter by using optical single-sideband (OSSB) modulation at frequencies 193.1, 193.2, 193.35, and 193.6 THz.
Duc Tan Tran
tantd@vnu.edu.vn
Trung Ninh Bui
ninhbt@vnu.edu.vn
2020-09-14T02:52:10Z
2020-09-14T02:52:41Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4060
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4060
2020-09-14T02:52:10Z
Solving the k-dominating set problem on very large-scale networks
The well-known minimum dominating set problem (MDSP) aims to construct the minimum-size subset of vertices in a graph such that every other vertex has at least one neighbor in the subset. In this article, we study a general version of the problem that extends the neighborhood relationship: two vertices are called neighbors of each other if there exists a path through no more than k edges between them. The problem called “minimum k-dominating set problem” (MkDSP) becomes the classical dominating set problem if k is 1 and has important applications in monitoring large-scale social networks. We propose an efficient heuristic algorithm that can handle real-world instances with up to 17 million vertices and 33 million edges. This is the first time such large graphs are solved for the minimum k-dominating set problem
Minh Hai Nguyen
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
Diep Nguyen N
Diep.Nguyen@uts.edu.au
The Trung Tran
trung@fpt.edu.vn
2020-09-14T02:51:25Z
2020-09-14T02:51:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4056
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4056
2020-09-14T02:51:25Z
Opposite Partial Response Filter for Shingled Magnetic Recording Systems
Shingled magnetic recording (SMR) is regarded as the most influential technology for the next-generation magnetic recording systems. The SMR tracks are partly overlapped by each other, and the SMR systems can obtain higher area densities by increasing track per inch density. As a result, this brings more interference from the adjacent sidetracks, i.e., intertrack interference (ITI) while reading the home track. In this letter, we are interested in applying the filtering process to the squeezed tracks before recording to improve the quality of retrieved data. The preprocessing is to reduce the effect of unwanted signals from the sidetracks on the home track. The results show that the SMR system's performance is vastly improved even under the extremely severe effects of the ITI.
Dinh Chi Nguyen
Thu Phuong Nguyen
Sinh Cong Lam
congls@vnu.edu.vn
2020-09-07T08:16:54Z
2020-11-29T02:17:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4049
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4049
2020-09-07T08:16:54Z
A thermal-aware on-line fault tolerance method for TSV lifetime reliability in 3D-NoC systems
Through-Silicon-Via (TSV) based 3D Integrated Circuits (3D-IC) are one of the most advanced architectures by providing low power consumption, shorter wire length and smaller footprint. However, 3D-ICs confront lifetime reliability due to high operating temperature and interconnect reliability, especially the Through-Silicon-Via (TSV), which can significantly affect the accuracy of the applications. In this paper, we present an online method that supports the detection and correction of lifetime TSV failures, named IaSiG. By reusing the conventional recovery method and analyzing the output syndromes, IaSiG can determine and correct the defective TSVs. Results show that within a group, $R$ redundant TSVs can fully localize and correct $R$ defects and support the detection of $R+1$ defects. Moreover, by using $G$ groups, it can localize up to GxR and detect up to Gx(R+1) defects. An implementation of IaSiG for 32-bit data in eight groups and two redundancies has a worst-case execution time (WCET) of 5,152 cycles while supporting at most 16 defective TSVs (50\% localization).
By integrating IaSiG onto a 3D Network-on-Chip, we also perform a grid-search based empirical method to insert suitable numbers of redundancies into TSV groups. The empirical method takes the operating temperature as the factor of accelerated fault due to the fact that temperature is one of the major issues of 3D-ICs. The results show that the proposed method can reduce the number of redundancies from the uniform method while still maintaining the required Mean Time to Failure.
Nam Khanh Dang
dnk0904@gmail.com
Akram Ben Ahmed
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
Xuan Tu Tran
tutx@vnu.edu.vn
2020-07-29T08:16:59Z
2020-07-29T08:16:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4035
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4035
2020-07-29T08:16:59Z
Nanomaterials for Organic Optoelectronic Devices: Organic Light-Emitting Diodes, Organics Solar Cells and Organic Gas Sensors
Nang Dinh Nguyen
dinhnn@vnu.edu.vn
Si Trong Khanh Tran
Minh Long Lam
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
2020-07-29T08:14:18Z
2020-07-29T08:14:18Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4029
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4029
2020-07-29T08:14:18Z
Smartphone-based Indoor Positioning Using BLE iBeacon and Reliable Lightweight Fingerprint Map
The introduction of Bluetooth Low Energy (BLE)
technology provides new opportunities that the Global Positioning System (GPS) could not provide for indoor localization. In this article, we propose a real-time indoor tracking and positioning system using BLE beacon and smartphone sensors. Up to now, most of the system is using Pedestrian Dead Reckoning (PDR). The initial position is considered to have a high impact on the accuracy of PDR, so, based on the analysis of received signal strength (RSS), we present a method to estimate the approximate distance, then, estimate the initial position through Trilateration technique. Next,
we propose a lightweight and reliable fingerprintmethod. This
method addresses two problems: (1) to correct errors due to
the initial position error and orbital drift of PDR, (2) to reduce theamount of data, number of reference points and collecting
data time. The proposed system is implemented on hesmartphone as an application.To verify the accuracy of the system, we performed some experiments. The results show that the system not only achieves high accuracy but also the high
performance with average complexity and low cost.
Thi Thai Mai Dinh
dttmai@vnu.edu.vn
Ngoc Son Duong
duongson.vnu@gmail.com
Sandrasegaran Kumbesan
Kumbesan.Sandrasegaran@uts.edu.au
2020-07-18T02:01:54Z
2020-07-18T02:01:54Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4018
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4018
2020-07-18T02:01:54Z
Analytical solutions for nonlinear magneto-electro-elastic vibration of smart sandwich plate with carbon nanotube reinforced nanocomposite core in hygrothermal environment
This paper presents an analytical approach on the nonlinear magneto-electro-elastic vibration of smart sandwich plate. The sandwich plate consists of a carbon nanotube reinforced nanocomposite (CNTRC) core integrated with two magneto-electro-elastic face sheets. For core layer, three types of carbon nanotube (CNT) distribution such as FG-O, FG-V, FG-X are considered while the volume fraction of BaTiO3 − CoFe2O4 in each face sheet is chosen to be 0.5. It is assumed that the smart sandwich plate is rested on Pasternak-type elastic foundations and subjected to the combination of external pressure, thermal, electric and magnetic loads. The coupled constitutive relations are derived based on the Hamilton's principle in which the kinematic nonlinearity is defined using Reddy's higher order shear deformation theory. The analytical solutions which satisfy the boundary conditions are assumed to have the trigonometric form. The Galerkin method is used to obtain the closed form expressions of natural frequency, the relation between the frequency ratio and dimensionless amplitude and the dynamic response of the sandwich plate. The numerical results are conducted to illustrate the effect of geometrical parameters, CNT volume fraction, temperature and moisture increment, electric and magnetic potentials on the nonlinear vibration of smart sandwich plate. The reliability of present results is evaluated by comparing with the previous results based on different approach.
Dinh Dat Ngo
Quoc Quan Tran
quantq1505@gmail.com
Mahesh Vinyas
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-07-18T02:01:39Z
2020-07-18T02:01:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4017
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4017
2020-07-18T02:01:39Z
Nonlinear dynamic analysis of piezoelectric functionally graded porous truncated conical panel in thermal environments
In this article, the nonlinear dynamic response and free vibration of functionally graded porous (FGP) truncated conical panel with piezoelectric actuators in thermal environments are investigated by an analytical method. The panel resting on an elastic foundation which is modeled according to the Winkler–Pasternak theory. The material properties including Young's modulus, shear modulus, and density are assumed to smoothly through the shell thickness. Three types of porosity distribution across the thickness, namely, symmetric porosity distribution, non-symmetric porosity, and uniform porosity distribution, are considered. Theoretical formulations are presented based on the first-order shear deformation shell theory with a von Karman-Donnell type of kinematic nonlinearity. The non-linear motion equations and resulting equations are derived by using Hamilton's principle, Galerkin's method, and Runge-Kutta method. Lastly, some numerical results are presented to study the effects of shell characteristics, porosity distribution, porosity coefficient, applied actuator voltage, temperature increment and elastic foundations on the nonlinear dynamic response and the natural frequencies of the piezoelectric FGP truncated conical panel.
Quang Chan Do
Van Thanh Nguyen
Dinh Khoa Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-07-18T02:01:15Z
2020-07-18T02:01:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4015
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4015
2020-07-18T02:01:15Z
Nonlinear buckling and post-buckling analysis of shear deformable stiffened truncated conical sandwich shells with functionally graded face sheets and a functionally graded porous core
In this study, the nonlinear buckling and post-buckling analysis of stiffened truncated conical sandwich shells with functionally graded face sheets and a functionally graded porous core resting on the Winkler–Pasternak elastic foundation subject to a uniform axial compressive load has been investigated. Shells are reinforced by functionally graded material stringers and rings, in which the change of spacing between stringers in the meridional direction also is taken into account. The stability equations of the shell are derived based on the first-order shear deformation theory with a von Karman–Donnell type of kinematic nonlinearity and the smeared stiffener technique. Those equations are solved by the Galerkin method to determine the effects of stiffeners, shell characteristics, material properties, porosity coefficient, and elastic foundation on the critical buckling load and for analyzing the post-buckling load–deflection curves. The approaches are verified with the known results in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Dinh Khoa Nguyen
Quang Chan Do
2020-07-18T02:00:52Z
2020-07-18T02:00:52Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4010
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4010
2020-07-18T02:00:52Z
Nonlinear stability and optimization of thin nanocomposite multilayer organic solar cell using Bees Algorithm
This paper carries out the nonlinear stability of nanocomposite multilayer organic solar cell (NMOSC) subjected to axial compressive loads. The model of organic solar cell is assumed to consist five layers: Al, P3HT:PCBM, PEDOT:PSS, IOT and Glass. Based on the classical plate theory, the basic equations are established taking into account the effect of elastic foundations and initial imperfection. The approximation solutions are selected based on the boundary conditions of the four edges of NMOSC. The equation which indicates the relationship between axial compressive loads and deflection amplitude of NMOSC is obtained by using the Galerkin method. Bees Algorithm is applied to maximize the value of critical buckling load with nine variables including the thickness of five layers, the length and the width of NMOSC and two stiffness coefficients of elastic foundations. The numerical results show the effect of geometrical and material parameters, initial imperfection and elastic foundations on the nonlinear static stability and the critical buckling load of NMOSC. Optimal values of nine geometrical parameters of NMOSC are also determined.
Dinh Dat Ngo
Minh Anh Vu
vuminhanhhp@gmail.com
Quoc Quan Tran
quantq1505@gmail.com
Truong Duc Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-07-18T02:00:39Z
2020-07-18T02:00:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4009
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4009
2020-07-18T02:00:39Z
Nonlinear Postbuckling of Eccentrically Oblique-Stiffened Functionally Graded Doubly Curved Shallow Shells Based on Improved Donnell Equations
The nonlinear buckling and postbuckling of eccentrically oblique-stiffened doubly curved shallow functionally graded shells is investigated based on improved Donnell equations. The improved Lekhnitskii smeared stiffeners technique is employed to found the stiffness matrix of the stiffened shells. The shells are reinforced by eccentrically oblique stiffeners with an arbitrary inclination angle. Using the Galerkin method, an analytical approximate solution for the deflection of reinforced FGM doubly curved shallow shells is obtained. The influence of geometrical parameters, oblique stiffeners, and temperature on the postbuckling behavior of the shells is analyzed.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hoai Nam Vu
Huy Cuong Nguyen
2020-07-18T02:00:24Z
2020-07-18T02:00:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4008
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4008
2020-07-18T02:00:24Z
Nonlinear Post-Buckling of CNTs Reinforced Sandwich-Structured Composite Annular Spherical Shells
This work presents the nonlinear post-buckling behavior of carbon nanotubes (CNTs) reinforced sandwich composite annular spherical (AS) shells supported by elastic foundations in the thermal environment. This paper takes advantage of the sandwich-structured configuration with three layers: two nanocomposite face sheets and an isotropic core to analyze the static problem. Due to the precious properties, CNTs are applied to reinforce nanocomposite face sheets of AS shells. The governing equations of the nonlinear mechanical response of CNTs reinforced sandwich-structured composite (SSC) AS shells are achieved by using the classical shell theory (CST) and taking von Kármán’s geometrical nonlinearity into account. Applying Airy’s stress function and an approximate solution, we propose a form of stress function for CNTs reinforced SSC AS shells. The detailed effects of different types of CNTs’ reinforcement and volume fractions, geometrical parameters, core to face sheet thickness ratio, Winkler and Pasternak elastic foundations on the nonlinear mechanical post-buckling analysis are examined.
Tuan Manh Duong
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2020-07-18T01:52:45Z
2020-07-18T01:52:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4011
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4011
2020-07-18T01:52:45Z
Vibration and nonlinear dynamic analysis of variable thickness sandwich laminated composite panel in thermal environment
Based on the first-order shear deformation theory taking into account geometrical nonlinearity, initial geometrical imperfection, vibration and nonlinear dynamic analysis of the sandwich laminated composite panel characterized by a continuous thickness variation in thermal environment and resting on an elastic foundation are considered in this paper. Variable thickness could affect the design of composite panel since it allows to tailor the stiffness features in the most stressed areas within the domain, keeping the weight constant. As a consequence, an improved dynamic behavior of the structure may be exhibited. The motion equations of dynamic analysis are determined due to Galerkin method and the obtained equation is numerically solved by using Runge–Kutta method. In numerical results, the effects of initial geometrical imperfections and geometrical parameters, material properties, coefficients of foundation, mechanical loads, temperature and the variable thickness on the nonlinear dynamic response and vibration of the laminated composite panel are investigated
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Eock Kim Seung
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Minh Anh Vu
vuminhanhhp@gmail.com
2020-07-10T15:05:06Z
2020-12-21T09:36:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3995
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3995
2020-07-10T15:05:06Z
Secrecy Performance of Cooperative Cognitive
Radio Networks Under Joint Secrecy Outage and
Primary User Interference Constraints
In this paper, we investigate the secrecy performance of a Cooperative Cognitive Radio
Network (CCRN) in the presence of an eavesdropper (EAV). The secondary users (SUs) are subject to three
constraints which include peak transmit power level and interference limitation with respect to the primary
user (PU) as well as secrecy outage constraints due to the EAV. Secrecy outage is achieved when the EAV
cannot decode the targeted signal, but communications in the secondary network is still possible (non-zero
capacity exists). Approximation expressions of the secrecy outage probability and the probability of non-zero
secrecy capacity are derived to evaluate the secrecy performance. Monte Carlo simulations are provided to
examine the accuracy of the derived approximation expressions. Based on this, power allocation policies
for the SUs are derived, satisfying all the constraints while maximizing the secrecy performance as well as
the quality of service performance of the secondary network. It can be concluded that with knowledge of
the channel state information (CSI) of the EAV it is possible to calculate the optimal value for the secrecy
outage threshold of the secondary user (SU) which in turn allows maximizing the secrecy performance. Most
interestingly, our numerical results illustrate that the secrecy performance of the system is much improved
when the parameters obtained using the CSI of the EAV are calculated optimally. Thence, the system can
adjust the power allocation so that no eavesdropping occurs even without reducing quality of service (QoS)
performance compared to a network without any EAV.
Xuan Truong Quach
qxtruong@ictu.edu.vn
Hung Tran
tran.hung@mdh.se
Elisabeth Uhlemann
elisabeth.uhlemann@mdh.se
Truc Mai Tran
mai.tran@vnu.edu.vn
2020-07-10T14:57:17Z
2020-07-29T08:39:33Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3968
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3968
2020-07-10T14:57:17Z
TSV-OCT: A Scalable Online Multiple-TSV Defects Localization for Real-Time 3-D-IC Systems
In order to detect and localize TSV failures in
both manufacturing and operating phases, most of the existing methods use a dedicated testing mechanism with long response time and prerequisite interruptions for on-line testing. This paper presents an ECC-based method named “Through-Silicon-Via On Communication Test” (TSV-OCT) to detect and localize faults without halting the operation of TSV-based 3D-IC systems. We first propose Statistical Detector, a method to detect open and short defects in TSVs that work in parallel with data transactions.
Second, we propose an Isolation and Check algorithm to enhance the localization ability of the method. Moreover, the Monte-Carlo simulations show that the proposed Statistical Detector increases ×2 the number of detected faults when compared to conventional ECC-based techniques. With the help of Isolation and Check, TSV-OCT localizes the number of defects up to ×4 and ×5 higher. In addition, the response time is kept below 65,000 cycles which could be easily integrated into real-time applications. On
the other hand, an implementation of TSV-OCT on a 3D Network-on-Chip router shows no performance degradation for testing while having a reasonable area overhead.
Nam Khanh Dang
dnk0904@gmail.com
Akram Ben Ahmed
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
Xuan Tu Tran
tutx@vnu.edu.vn
2020-07-10T05:51:47Z
2020-07-10T05:51:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3998
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3998
2020-07-10T05:51:47Z
An Efficient Algorithm to Extract Control Flow-based Features for IoT Malware Detection
Control flow-based feature extraction method has the ability to detect malicious code with higher accuracy than traditional text-based methods. Unfortunately, this method has been encountering with the NP-hard problem, which is infeasible for the large-sized and high-complexity programs. To tackle this, we propose a control flow-based features extraction dynamic programming algorithm (CFD) for fast extraction of control flow-based features with polynomial time O(N^2), where N is the number of basic blocks in decompiled executable codes. From the experimental results, it is demonstrated that the proposed algorithm is more efficient and effective in detecting malware than the existing ones. Applying our algorithm to an IoT dataset gives better results on 3 measures: Accuracy (AC) = 99.05%, False Positive Rate (FPR) = 1.31% and False Negative Rate (FNR) = 0.66%.
Nghi Phu Tran
tnphvan@gmail.com
Dai Tho Nguyen
nguyendaitho@vnu.edu.vn
Huy Hoang Le
hoangle.hvan@gmail.com
Ngoc Toan Nguyen
ngoctoan.hvan@gmail.com
Ngoc Binh Nguyen
nn_binh@kcg.edu
2020-07-10T05:36:01Z
2020-07-10T05:41:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4004
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/4004
2020-07-10T05:36:01Z
Multi-channel EEG epileptic spike detection by a new method of
tensor decomposition
Objective. Epilepsy is one of the most common brain disorders. For epilepsy diagnosis or treatment, the neurologist needs to observe epileptic spikes from electroencephalography (EEG) data. Since multi-channel EEG records can be naturally represented by multi-way tensors, it is of interest to see whether tensor decomposition is able to analyze EEG epileptic spikes. Approach. In this paper, we first proposed the problem of simultaneous multilinear low-rank approximation of tensors (SMLRAT) and proved that SMLRAT can obtain local optimum solutions by using two well-known tensor decomposition algorithms (HOSVD and Tucker-ALS). Second, we presented a new system for automatic epileptic spike detection based on SMLRAT. Main results. We propose to formulate the problem of feature extraction from a set of EEG segments, represented by tensors, as the SMLRAT problem. Efficient EEG features were obtained, based on estimating the ‘eigenspikes’ derived from nonnegative GSMLRAT. We compared the proposed tensor analysis method with other common tensor methods in analyzing EEG signal and compared the proposed feature extraction method with the state-of-the-art methods. Experimental results indicated that our proposed method is able to detect epileptic spikes with high accuracy. Significance. Our method, for the first time, makes a step forward for automatic detection EEG epileptic spikes based on tensor decomposition. The method can provide a practical solution to distinguish epileptic spikes from artifacts in real-life EEG datasets.
Trung Thanh Le
letrungthanhtbt@gmail.com
Dao Nguyen Thi Anh
daonta81@gmail.com
Viet Dung Nguyen
nvdung@vnu.edu.vn
Linh Trung Nguyen
linhtrung@vnu.edu.vn
Abed-Meraim Karim
karim.abed-meraim@univ-orleans.fr
2020-06-05T02:04:31Z
2020-06-05T02:04:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3959
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3959
2020-06-05T02:04:31Z
Modelling and calculation of characteristic parameters of the active and buffer layers in organic solar cell
Minh Duc Tran
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
2020-05-29T08:22:38Z
2020-05-29T08:22:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3958
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3958
2020-05-29T08:22:38Z
Fabrication and characteristics of Zn1–xSnxO nanorod/ITO composite photocatalytic films
Zn1−xSnxO NRs/ITO composite photocatalytic films were fabricated by the hydrothermal method. A concentration of Sn dopant in Zn1−xSnxO nanorods (NRs) was varied from 0% to 7%. The structural and surface morphology characteristics of Zn1−xSnxO NRs/ITO composite photocatalytic films were investigated by X-Ray diffraction (XRD) and scanning electron microscopy (SEM), respectively. In addition, photocatalytic properties of synthesized materials were evaluated by degradation rates of Rhodamine-B aqueous solutions under UV light irradiation. The SEM results indicated that, with an increasing concentration of Sn dopant in Zn1−xSnxO NRs/ITO, the effective surface areas were declined by an exponential decay function and the reduction was negligible as the Sn doping concentration was higher than 3%. With the similarity in effective surface area, the contribution of Sn in the enhancement of the photocatalytic activity of Zn0.93Sn0.07O NRs/ITO is clearly observed with 41% improvement in comparison to ZnO NRs/ITO.
T. Dung Nguyen
Tran Chien Dang
Duc Thien Trinh
Anh Tuan Duong
Duc Thang Pham
pdthang@vnu.edu.vn
Nang Dinh Nguyen
dinhnn@vnu.edu.vn
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
2020-05-29T08:21:35Z
2020-05-29T08:21:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3957
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3957
2020-05-29T08:21:35Z
Experimental and numerical study on photocatalytic activity of the ZnO nanorods/CuO composite film
The photocatalytic activity of the ZnO NRs/CuO composite film was investigated by using both experimental and numerical methods. The ZnO NRs/CuO composite film exhibits significantly enlarge absorption range to visible-light and suppress the recombination rate of the photogenerated electron-hole pairs, which can be well utilized as a photocatalyst. The ZnO NRs/CuO composite film also presents good stability, and reusability, and durability for photo-decomposition purpose. The optimal ZnO NRs/CuO composite film contains 1μ-thick of CuO film and 10 nm-thick of ZnO NRs film. The donor concentration in ZnO NRs film should be lower than 1016 cm−3. The short circuit current density of the optimal composite film is 25.8 mA/cm2 resulting in the calculated pseudo-order rate constant of 1.85 s−1. The enhancement in degradation efficiency of this composite film is attributed to the inner electric field and large effective surface area of ZnO NRs film.
T. Dung Nguyen
Minh Duc Tran
Van Thanh Hoang
Duc Thien Trinh
Duc Thang Pham
pdthang@vnu.edu.vn
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
2020-04-24T04:18:38Z
2020-04-24T04:18:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3951
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3951
2020-04-24T04:18:38Z
An Efficient Hardware Implementation of Residual Data Binarization in HEVC CABAC Encoder
HEVC-standardized encoders employ the CABAC (context-based adaptive binary arithmetic coding) to achieve high compression ratios and video quality that supports modern real-time high-quality video services. Binarizer is one of three main blocks in a CABAC architecture, where binary symbols (bins) are generated to feed the binary arithmetic encoder (BAE). The residual video data occupied an average of 75% of the CABAC’s work-load, thus its performance will significantly contribute to the overall performance of whole CABAC design. This paper proposes an efficient hardware implementation of a binarizer for CABAC that focuses on low area cost, low power consumption while still providing enough bins for high-throughput CABAC. On the average, the proposed design can process upto 3.5 residual syntax elements (SEs) per clock cycle at the maximum frequency of 500 MHz with an area cost of 9.45 Kgates (6.41 Kgates for the binarizer core) and power consumption of 0.239 mW (0.184 mW for the binarizer core) with NanGate 45 nm technology. It shows that our proposal achieved a high overhead-efficiency of 1.293 Mbins/Kgate/mW, much better than the other related high performance designs. In addition, our design also achieved a high power-efficiency of 8288 Mbins/mW; this is important factor for handheld applications.
Dinh Lam Tran
lamtdvdt@gmail.com
Xuan Tu Tran
Duy Hieu Bui
hieubd@vnu.edu.vn
Cong Kha Pham
pham@ee.uec.ac.jp
2020-03-28T11:49:03Z
2020-04-24T04:14:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3942
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3942
2020-03-28T11:49:03Z
A non-blocking non-degrading multiple defects link testing method for 3D-Networks-on-Chip
As one of the most promising technologies to realize 3D Integrated Circuits (3D-ICs), Through-Silicon-Via (TSV) acts as the inter-layer link inside 3D Networks-on-Chip. However, the reliability issues due to the low yield rates and the sensitivity to thermal hotspots and stress issues are preventing TSV-based 3D-ICs from being widely and efficiently used. To ensure the correctness of TSV connections at run-time, detecting multiple (clustering) defects is an important feature. While Error Correction Codes are limited by a certain number of detectable faults, using Built-In-Self-Test (BIST) prevents the system from operating normally during the test time. This paper first presents a Parity Product Code (PPC) with the ability to correct one fault and detect, at least, two faults. Second, we present extended PPC (EPPC) to detect multiple defects within the links of Networks-on-Chip by using two or more additional matrices. Furthermore, we present the distance-aware version of EPPC to detect multiple defects by using only one extra matrix.
The results show that the distance-aware EPPC can detect 100% of clustering defects and multiple random defects within two and three cycles, respectively.
The performance evaluation for Network-on-Chip testing also shows no degradation while providing an extremely short response time (2-3 cycles).
Nam Khanh Dang
dnk0904@gmail.com
Michael Meyer
Akram Ben Ahmed
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
Xuan Tu Tran
tutx@vnu.edu.vn
2020-03-28T11:47:12Z
2020-03-28T11:47:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3940
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3940
2020-03-28T11:47:12Z
Scalable design methodology and online algorithm for TSV-cluster defects recovery in highly reliable 3D-NoC systems
3D-Network-on-Chips exploit the benefits of Network-on-Chips and 3D-Integrated Circuits allowing them to be considered as one of the most advanced and auspicious communication methodologies. On the other hand, the reliability of 3D-NoCs, due to the vulnerability of Through Silicon Vias, still remains as a major problem. Most of the existing techniques rely on correcting the TSV defects by using redundancies or employing routing algorithms. Nevertheless, they are not suitable for TSV-cluster defects as they can either lead to costly area and power consumption overheads or they may result in non-minimal routing paths; thus, posing serious threats to the system reliability and overall performance. In this work, we present a scalable and low-overhead TSV usage and design method for 3D-NoC systems where the TSVs of a router can be utilized by its neighbors to deal with the cluster open defects. An adaptive online algorithm is also introduced to assist the proposed system to immediately work around the newly detected defects without using redundancies. The experimental results show the proposal ensure less than 2% of the routers being disabled, even with 50% of the TSV clusters defects. The performance evaluations also demonstrate unchanged performances for real applications under 5% of cluster defects.
Nam Khanh Dang
dnk0904@gmail.com
Akram Ben Ahmed
Yuichi Okuyama
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
2020-02-11T08:44:30Z
2020-02-11T08:44:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3928
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3928
2020-02-11T08:44:30Z
Dynamic Basic Activity Sequence Matching Method in Abnormal Driving Pattern Detection Using Smartphone Sensors
In this work, we present a novel method, namely dynamic basic activity sequence matching (DAS), a combination of machine learning methods and flexible threshold based methods for distinguishing normal and abnormal driving patterns. Indeed, DAS relies on the activity detection module (ADM) presented in our previous work to analyze each driving pattern as a sequence of basic activities—stopping (S), going straight (G), turning left (L), and turning right (R). In fact, the threshold value and other parameters like the duration of long and short activities are iteratively induced from the collected dataset. Hence, DAS is flexible and independent of driving contexts such as vehicle modes and road conditions. Experimental results, on the dataset collected from numerous motorcyclists, show the outperformance of our proposed method against dynamic time warping and the two popular machine learning methods—random forest and neural network—in distinguishing the normal and abnormal driving patterns. Moreover, we propose an efficient framework composing of two phases: in the first phase, the normal and abnormal driving patterns are distinguished by relying on DAS. In the second phase, the detected abnormal patterns are further classified into various specific abnormal driving patterns—weaving, sudden braking, etc. This fusion framework again achieves the highest overall accuracy of 97.94%.
Thi Hau Nguyen
nguyenhau@vnu.edu.vn
Dang Nhac Lu
Duc Nhan Nguyen
Ha Nam Nguyen
namnh@vnu.edu.vn
2020-02-11T08:44:18Z
2020-02-11T08:44:18Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3934
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3934
2020-02-11T08:44:18Z
A Service-based Framework for Building and Executing Epidemic Simulation Applications in the Cloud
The cloud has emerged as an attractive platform for resource-intensive scientific applications, such as epidemic simulators. However, building and executing such applications in the cloud presents multiple challenges, including exploiting elasticity, handling failures, and simplifying multi-cloud deployment. To address these challenges, this paper proposes a novel service-based framework called DiFFuSE that enables simulation applications with a bag-of-tasks structure to fully exploit cloud platforms. This paper describes how the framework is applied to restructure two legacy applications, simulating the spread of bovine viral diarrhea virus and Mycobacterium avium subspecies paratuberculosis, into elastic cloud-native applications. Experimental results show that the framework enhances application performance and allows exploring different cost-performance trade-offs while supporting automatic failure handling and elastic resource acquisition from multiple clouds.
Nikos Parlavantzas
nikos.parlavantzas@irisa.fr
Manh Linh Pham
linhmp@vnu.edu.vn
Christine Morin
Christine.Morin@inria.fr
Sandie Arnoux
sandie.arnoux@inra.fr
Gaël Beaunée
gael.beaunee@inra.fr
Luyuan Qi
qiluyuan@gmail.com
Philippe Gontier
philippe.gontier@oniris-nantes.fr
Pauline Ezanno
pauline.ezanno@oniris-nantes.fr
2020-01-31T08:01:34Z
2020-01-31T08:01:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3923
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3923
2020-01-31T08:01:34Z
Collaborative Smartphone-Based User Positioning in a Multiple-User Context Using Wireless Technologies
For the localization of multiple users, Bluetooth data from the smartphone is able to
complement Wi‐Fi‐based methods with additional information, by providing an approximation of
the relative distances between users. In practice, both positions provided by Wi‐Fi data and relative
distance provided by Bluetooth data are subject to a certain degree of noise due to the uncertainty
of radio propagation in complex indoor environments. In this study, we propose and evaluate two
approaches, namely Non‐temporal and Temporal ones, of collaborative positioning to combine
these two cohabiting technologies to improve the tracking performance. In the Non‐temporal
approach, our model establishes an error observation function in a specific interval of the Bluetooth
and Wi‐Fi output. It is then able to reduce the positioning error by looking for ways to minimize the
error function. The Temporal approach employs an extended error model that takes into account
the time component between users’ movements. For performance evaluation, several multi‐user
scenarios in an indoor environment are set up. Results show that for certain scenarios, the proposed
approaches attain over 40% of improvement in terms of average accuracy
Viet Cuong Ta
cuongtv@vnu.edu.vn
Trung Kien Dao
Dominique Vaufreydaz
Eric Castelli
2020-01-08T01:06:40Z
2020-01-08T01:07:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3918
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3918
2020-01-08T01:06:40Z
Sensing Data Fusion for Enhanced Indoor Air Quality Monitoring
Multisensor fusion of air pollutant data in smart buildings remains an important input to address the well-being and comfort perceived by their inhabitants. An integrated sensing system is part of a smart building where real-time indoor air quality data are monitored round the clock using sensors and operating in the Internet-of-Things (IoT) environment. In this work, we propose an air quality management system merging indoor air quality index (IAQI) and humidex into an enhanced indoor air quality index (EIAQI) by using sensor data on a real-time basis. Here, indoor air pollutant levels are measured by a network of waspmote sensors while IAQI and humidex data are fused together using an extended fractional-order Kalman filter (EFKF). According to the obtained EIAQI, overall air quality alerts are provided in a timely fashion for accurate prediction with enhanced performance against measurement noise and nonlinearity. The estimation scheme is implemented by using the fractional-order modeling and control (FOMCON) toolbox. A case study is analysed to prove the effectiveness and validity of the proposed approach.
Quang Ha
quang.ha@uts.edu.au
Santanu Metia
Santanu.Metia@uts.edu.au
Manh Duong Phung
duongpm@vnu.edu.vn
2020-01-04T05:42:24Z
2020-01-04T05:43:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3802
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3802
2020-01-04T05:42:24Z
Exposure to air pollution and risk of hospitalization for cardiovascular diseases amongst Vietnamese adults: Case-crossover study
Thi Trang Nhung Nguyen
Christian Schindler
Quy Chau Ngo
Thi Hanh Phan
Tu Hoang Le
Minh Dien Tran
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
Nino Künzli
2019-12-30T03:19:49Z
2019-12-30T03:19:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3915
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3915
2019-12-30T03:19:49Z
Light Intensity-dependent Variation in Defect Contributions to Charge Transport and Recombination in a Planar MAPbI3 Perovskite Solar Cell
We investigated operation of a planar MAPbI3 solar cell with respect to intensity variation ranging from 0.01 to 1 sun. Measured J-V curves consisted of space-charge-limited currents (SCLC) in a drift-dominant range and diode-like currents in a diffusion-dominant range. The variation of power-law exponent of SCLC showed that charge trapping by defects diminished as intensity increased, and that drift currents became eventually almost ohmic. Diode-like currents were analysed using a modified Shockley-equation model, the validity of which was confirmed by comparing measured and estimated open-circuit voltages. Intensity dependence of ideality factor led us to the conclusion that there were two other types of defects that contributed mostly as recombination centers. At low intensities, monomolecular recombination occurred due to one of these defects in addition to bimolecular recombination to result in the ideality factor of ~1.7. However, at high intensities, another type of defect not only took over monomolecular recombination, but also dominated bimolecular recombination to result in the ideality factor of ~2.0. These ideality-factor values were consistent with those representing the intensity dependence of loss-current ratio estimated by using a constant internal-quantum-efficiency approximation. The presence of multiple types of defects was corroborated by findings from equivalent-circuit analysis of impedance spectra.
Shinyoung Ryu
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Na Young Ha
Hui Joon Park
huijoon@ajou.ac.kr
Y. H. Ahn
Ji-Yong Park
jiyong@ajou.ac.kr
Soonil Lee
soonil@ajou.ac.kr
2019-12-26T11:04:19Z
2019-12-26T11:04:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3899
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3899
2019-12-26T11:04:19Z
Anthropogenic influence on surface water quality of the Nhue and Day sub-river systems in Vietnam
Thi Minh Hanh Pham
The Ba Dang
badt@vnu.edu.vn
2019-12-26T11:04:02Z
2019-12-26T11:04:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3897
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3897
2019-12-26T11:04:02Z
Development of Water Quality Indices to Identify Pollutants in Vietnam's Surface Water
Thi Minh Hanh Pham
The Ba Dang
badt@vnu.edu.vn
2019-12-26T11:02:05Z
2019-12-26T11:02:22Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3898
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3898
2019-12-26T11:02:05Z
Analysis of Variation and Relation of Climate, Hydrology and Water Quality in the Lower Mekong River
Thi Minh Hanh Pham
The Ba Dang
badt@vnu.edu.vn
2019-12-19T03:16:47Z
2019-12-20T04:56:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3847
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3847
2019-12-19T03:16:47Z
High-Frequency Interdigitated Array Electrode-Based Capacitive Biosensor for Protein Detection
This paper reports a study on developing of a protein detection biochip based on interdigitated array electrodes (IDAEs) capacitive immunosensor. The protein after being preconcentrated in a detection region will be selectively captured and detected by the capacitive immunosensor. Using electrical impedance spectroscopy operated at high-frequency in the range of 100 kHz–1 MHz, the capacitance of the gold electrode is determined and the antibody surface modification steps can be also monitored. The experiment results show the capacitance changes in accordance with the adding biochemical layer on gold electrodes for each step of the antibody surface modification. In particular, the total impedance operated at 1 MHz frequency has been seen to change from 2.1 kΩ of bare chip (before antibody surface modification) to 8 kΩ after antibody surface modification process while the serial capacitance is recorded to reduce steadily from 450 pF to 55 pF. Also, the efficiency of protein chip was investigated by implementing the measurement of 10 µM BSA with and without preconcentration process. The measurement results have shown the sensitivity increasing significantly after the protein is preconcentrated in this chip. The results demonstrate high efficiency of protein detection can be achieved by operating high frequency capacitive measurement on IDAEs capacitive immunosensor.
Quoc Tuan Vu
vqtuan0211@gmail.com
Ngoc Viet Nguyen
vietnn@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
Chun-Ping Jen
Chun-Ping@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
2019-12-19T03:16:14Z
2019-12-20T04:44:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3846
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3846
2019-12-19T03:16:14Z
Simulation and Experimental Study of a Synthetic Jet Valveless Pump
A valveless microfluidic pump using a Lead Zirconate Titanate (PZT) diaphragm-actuated synthetic jet is developed and fabricated. For this present design, a valveless pump structure is developed in which the pump chamber is sealed one side and connected to an emitting nozzle at another side. The design is simulated using the multi-physics approach and then successfully investigated with a prototype produced by a low-cost additive fabrication technique. The device’s parameters including the liquid pumping characteristics and the size of PZT membrane are optimized based on its desired performance. The developed device can be applied over a wide range of applications from micro-mixing to fluidic controlling.
Van Luan Le
etek.luan@gmail.com
Thanh Tung Bui
tungbt@vnu.edu.vn
Nhu Cuong Nguyen
cuongnn241@gmail.com
Ngoc An Nguyen
ngocan@vnu.edu.vn
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Lam Bao Dang
lambao@gmail.com
Canh Dung Tran
Canh-Dung.Tran@usq.edu.au
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Van Dau
v.dau@griffith.edu.au
2019-12-19T03:15:51Z
2019-12-19T03:15:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3843
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3843
2019-12-19T03:15:51Z
Study on Design Optimization of a Capacitive Tilt Angle Sensor
This paper presents a design optimization and validation of a two-axis cylindrical capacitive tilt angle sensor. The proposed sensor includes of five electrodes symmetrically arranged surrounding an air–liquid two phases cylindrical tube, forming two pairs of electrodes for roll and pith angle measurement. Cylindrical plastic tube filled partly with dielectric fluid with dielectric constant of 2. The proposed sensor can detect tilt angles in x-axis and y-axis, i.e. roll and pith angles. When the cylindrical plastic tube is rotated, the dielectric fluid covers a portion of the induction electrodes, thereby changing the differential capacitance value of the capacitor corresponding to the tilt angle. By measuring the capacitance change, the tilt angle can be estimated. Performance of the sensor was investigated by Finite element method using Comsol Multiphysics simulation software. Simulation results show the variation in capacitance with respect to the variation of tilt angle. Based on this simulation model, the structure of the electrodes was manipulated for maximizing the sensitivity for roll and pith sensing in an appropriate working range. Experiments were also conducted for validation. The experiment results are well matched with simulated results. The optimized sensor has working range from −70° to +70° with sensitivity of 3 mV/° in the roll angle and from −30° to +30° with sensitivity of 17 mV/° in the pitch angle.
Thi Thuy Ha Tran
tttha@gmail.com
Dac Hai Nguyen
haind75@gmail.com
Quoc Tuan Vu
tvu.tuan@gmail.com
Quoc Thinh Pham
thinhpq@tnu.edu.vnc
Ngoc An Nguyen
ngocan@vnu.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
2019-12-12T09:35:24Z
2019-12-12T09:35:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3631
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3631
2019-12-12T09:35:24Z
Structural transition, electrical and magnetic properties of Cr doped Bi0.9Sm0.1FeO3 multiferroics
The structural, vibrational, electrical and magnetic properties of Bi0.9Sm0.1Fe1-xCrxO3 (0.02 x 0.1) ceramics have been investigated in the vicinity of the morphotropic phase boundary (MPB) between the rhombohedral and orthorhombic structures. X-ray diffraction (XRD) patterns reveal a gradual formation of the orthorhombic phase and Bi14CrO24 impurity with increasing chromium concentration. The Riet- veld refinement and Raman scattering analysis confirm the structural transformation from the polar R3c to the anti-polar Pnam phases. The Cole-Cole plots show two relaxation regimes which are attributed to gain and grain boundary responses above room temperature. The slim magnetic hysteresis loops are observed in samples with x 1⁄4 0.02e0.08, while a robust loop with the coercivity field of Hc z 1200 Oe is observed for x 1⁄4 0.1 sample where the canted antiferromagnetic phase is significantly contributed to the total magnetization. This result approves that the cycloidal spin structure cannot be suppressed by Cr doping.
Thi Anh Ho
anhht@vnu.edu.vn
Phong P.T.
Thoan N.H.
Thi Minh Hong Nguyen
hongntm@vnu.edu.vn
Hao N.V.
Ha L.T.
Bach T.N.
Thanh T.D.
Xuan C.T.A.
Quang N.V.
Dang N.V.
Tho P.T.
2019-12-12T09:35:00Z
2019-12-12T09:35:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3517
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3517
2019-12-12T09:35:00Z
Short and long range ordering in La1−xSrxCoO3 cobaltites
We have carefully studied the relation between the critical and electrical behavior of rhombohedral
La1 -xSrxCoO3 (x = 0.2-0.5) compounds prepared by solid-state reactions. The results obtained from
analyzing M(T, H) data indicate that and all compounds undergo a second-order phase transition
and the ferromagnetic-paramagnetic (FM-PM) transition temperature increase from approximate
182 K for x = 0.2 to 253 K for x = 0.5. Basing on the modified Arrott and Kouvel-Fisher techniques,
scaling hypothesis, and critical isotherm analysis, we have determined the critical exponent values
characteristic of magnetic order of La1 -xSrxCoO3, with = 0.361~0.489, = 1.021~1.346, and =
2.99~4.72. Interestingly, though all compounds are metallic ferromagnets, we have found the phase
segregation in magnetic order as x > 0.3, where magnetic interactions tend to change from long-toshort-range FM order together with the absence of the insulating-like behavior at temperatures
below 100 K. These phenomena are related to the spatial coexistence of multiple electronic and
magnetic phases due to the changes in concentration of cobalt ions, spin-state transitions, and the
existence of poor-hole anti-FM and hole-rich FM regions.
Thi Anh Ho
anhht@vnu.edu.vn
The Long Phan
V. Quang N.
L. Cho S.
C. Yu S.
2019-12-10T15:56:44Z
2020-01-08T01:10:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3798
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3798
2019-12-10T15:56:44Z
Spatiotemporal analysis of ground and satellite-based aerosol for air quality assessment in the Southeast Asia region
Satellite observations for regional air quality assessment rely on comprehensive spatial coverage, and daily monitoring with reliable, cloud-free data quality. We investigated spatiotemporal variation and data quality of two global satellite Aerosol Optical Depth (AOD) products derived from MODIS and VIIRS imagery. AOD is considered an essential atmospheric parameter strongly related to ground Particulate Matter (PM) in Southeast Asia (SEA). We analyze seasonal variation, urban/rural area influence, and biomass burning effects on atmospheric pollution. Validation indicated a strong relationship between AERONET ground AOD and both MODIS AOD (R2 = 0.81) and VIIRS AOD (R2 = 0.68). The monthly variation of satellite AOD and AERONET AOD reflects two seasonal trends of air quality separately for mainland countries including Myanmar, Laos, Cambodia, Thailand, Vietnam, and Taiwan, Hong Kong, and for maritime countries consisting of Indonesia, Philippines, Malaysia, Brunei, Singapore, and Timor Leste. The mainland SEA has a pattern of monthly AOD variation in which AODs peak in March/April, decreasing during wet season from May–September, and increasing to the second peak in October. However, in maritime SEA, AOD concentration peaks in October. The three countries with the highest annual satellite AODs are Singapore, Hong Kong, and Vietnam. High urban population proportions in Singapore (40.7%) and Hong Kong (21.6%) were associated with high AOD concentrations as expected. AOD values in SEA urban areas were a factor of 1.4 higher than in rural areas, with respective averages of 0.477 and 0.336. The AOD values varied proportionately to the frequency of biomass burning in which both active fires and AOD peak in March/April and September/October. Peak AOD in September/October in some countries could be related to pollutant transport of Indonesia forest fires. This study analyzed satellite aerosol product quality in relation to AERONET in SEA countries and highlighted framework of air quality assessment over a large, complicated region.
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
Ha V. Pham
Kristofer Lasko
Mai T. Bui
Dominique Laffly
Astrid Jourdan
Quang Hung Bui
hungbq@vnu.edu.vn
2019-12-09T09:27:34Z
2019-12-09T09:27:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3758
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3758
2019-12-09T09:27:34Z
InGaP Nano-pattern Structure Realized by Thermally Dewetted Au Nanoparticles and Anisotropic Dry Etching
Abstract: Background: Optical reflection loss can be reduced more than 30% when multilayers ARC are deposited on the optoelectronic devices surface. Besides that, sub-wavelength structures, which have a period sufficiently smaller than the wavelength of light, have been introduced as an antireflection layer to offer a new possibility to suppress the Fresnel reflection. Normally, e-bean and nano-imprint lithography techniques are used to create nano-scale etch mask patterns. Metallic nanoparticles, which can be formed by a thermal dewetting process of metal thin film without any nanolithography techniques, can be utilized as an etch mask for the nano structure fabrications. The nano-patterned structures were fabricated on a silicon nitride passivation layer of a GaInP/GaAs/Ge triple-junction solar cell and showed an enhancement of its performance due to improved optical transmission and current matching.
Objective: Investigate the influences of InGaP nano-pattern structures on the optical characteristics and applied for compound solar cells. Methods: In this report, disordered InGaP nano-pattern structures were formed by thermally dewetted Au nanoparticles and anisotropic dry etching processes. The effects of the InGaP nano-patterned
structure on the optical reflection characteristics were investigated.
Results: The result indicated that the InGaP nano-patterned structure can reduce the optical reflection
in a wide range of wavelengths and, thus, can work as an antireflection layer. The InGaP nanostructure can improve up to 14.8% in the short circuit current density compared to that of the planar cell.
Conclusion: The InGaP nano structures have been successfully fabricated by thermal dewetted Au nanoparticles and anisotropic dry etching methods. The fabricated Au nanoparticles pattern was found to be the best when annealing temperature is 400°C for 30 minutes with the 5nm thick of Au film. By controlling dry etching time, the height of InGaP nanostructures can be varied from 95 nm to 150 nm. With the increasing of the height, the optical reflectance can be down to 22%. The InGaP nanostructure with the height of 150 nm was also introduced to the window layer of a single junction GaAs soar cell. The result indicated that the InGaP nanostructure only affects on the short circuit current density.
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
2019-12-09T09:15:34Z
2019-12-09T09:15:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3757
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3757
2019-12-09T09:15:34Z
A Novel Framework to Classify Malware in MIPS Architecture-based IoT Devices
Malware on devices connected to the Internet via the Internet of Things (IoT) ) is evolving and is a core component of the fourth industrial revolution. IoT devices use the MIPS architecture with a large proportion running on embedded Linux operating systems, but the automatic analysis of IoT malware has not resolved. We proposed a framework to classify malware in IoT devices by using MIPS-based system behavior (system call - syscall) got from our F-Sandbox passive process and machine learning techniques. The F-Sandbox is a new type for IoT sandbox, automatically created from the real firmware of the specialized IoT devices, inheriting the specialized environment in the real firmware, therefore creating a diverse environment for sandboxing as an important characteristic of IoT sandbox. This framework classifies five families of IoT malware with F1-Weight = 97.44%.
Nghi Phu Tran
tnphvan@gmail.com
Dang Kien Hoang
15021363@vnu.edu.vn
Quoc Dung Ngo
quocdung.ngo@gmail.com
Dai Tho Nguyen
nguyendaitho@vnu.edu.vn
Ngoc Binh Nguyen
nnbinh@vnu.edu.vn
2019-12-09T07:07:22Z
2019-12-09T07:07:22Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3738
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3738
2019-12-09T07:07:22Z
Dielectrophoresis can control the density of CNT membranes as confirmed by experiment and dissipative particle simulation
In forests and membranes, Carbon nano tubes (CNT) are not individual, instead they tend to be agglomerated into bundles because of the strong van der Waals interaction. CNTs usually form into bundles containing up to hundreds or thousands of parallel CNTs named as fibres which create networks within a CNT membrane. Recently, CNT based macrostructures (yarn and membrane) have increasingly been used in various applications in electronics, medical and bioengineering. Meanwhile the volume density of CNTs impacts on mechanical and physical properties of macrostructures, the controlling of the density of membranes is very complex. Thus, in this paper, an electric processing to dilate CNT membrane is sufficiently studied and investigated by both the experiment and particle based numerical simulation. Several initially potential applications of the method are also represented not only to control the density of CNTs but also to improve the CNTs’ alignment in macro-structures.
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Cao Khoa Le
cao-khoa.le@usq.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
Thanh Van Dau
v.dau@griffith.edu.au
2019-12-09T07:06:35Z
2019-12-09T07:06:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3736
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3736
2019-12-09T07:06:35Z
A Circulatory Ionic Wind for Inertial Sensing Application
A novel gyroscope using circulatory electro-hydrodynamics flow in a confined space is presented for the first time. The configuration of the new gyroscope includes three point-ring corona discharge actuators which generate ion flows in three separated sub-channels. The three ion flows then merge together when going through a nozzle of the main chamber entrance and create a jet flow. In the new configuration, the residual charge of ion wind flow is removed by a master-ring electrode located at one end of the main chamber. Under the effect of the angular speed of gyroscope, the jet flow is deflected and this deflection is sensed using hotwires. Results, which are consistently acquired by both numerical simulation and experiment on our prototypes, demonstrate the repeatability and stability of the new approach. Since the ion wind can be generated by a minimum power, the present configuration-based device does not require any vibrating component. Thus, the device is robust, cost and energy-effective.
Van Ngoc Tran
vanngoc@gmail.com
Thanh Tung Bui
tungbt@vnu.edu.vn
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Thanh Hoa Phan
p.hoa@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Van Thanh Dau
v.dau@griffith.edu.au
2019-12-09T07:06:17Z
2019-12-09T07:06:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3735
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3735
2019-12-09T07:06:17Z
Development of a Passive Capacitively Coupled Contactless Conductivity Detection (PC4D) Sensor System for Fluidic Channel Analysis Toward Point-of-Care Applications
A sensor system based on a modified capacitively coupled contactless conductivity detection sensor is proposed and developed. The proposed system provides a passive and wireless readout technique, through which the conductivity of fluidic flow can be analyzed and foreign objects occurring in the fluidic flow can be detected. The proposed sensor system takes advantage of the series resonance principle and detects shifts in the resonance frequency and reflection coefficient to estimate the conductivity of the fluidic flow. In this study, the working principle of the device is proposed and analyzed using a multiphysics simulation, and its performance is validated experimentally. The sensing performance is confirmed by measuring the conductivity of the fluidic media and the detection of foreign objects, such as air bubbles or water droplets, occurring in the flow. The influence of the distance between the inductors on the resonance frequency for different solution conductivities is also investigated and reported. The proposed sensor system shows its potential for use in various applications in biomedicine and chemistry, particularly in point-of-care applications, where the sensing chip can be easily set up for measurement and disposed of after use.
Loc Quang Do
locdq@hus.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
Bao Anh Hoang
baoanh0408@gmail.com
Thanh Pham Van
phamvanthanh@hus.edu.vn
Chun-Ping Jen
Chun-Ping@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
2019-12-09T07:03:42Z
2019-12-09T07:03:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3212
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3212
2019-12-09T07:03:42Z
A Robust Two-axis Tilt Angle Sensor Based on Air/Liquid Two-phase Dielectric Capacitive Sensing Structure
Thi Thuy Ha Tran
tttha@gmail.com
Dinh Tiep Dang
dangtiep.2011@gmail.com
Quoc Tuan Vu
tvu.tuan@gmail.com
Quoc Thinh Pham
thinhpq@tnu.edu.vnc
Masahiro Aoyagi
m.aoyagi@aist.go.jp
Ngoc My Bui
buingocmy_vn@mail.ru
Thanh Van Dau
v.dau@griffith.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
2019-12-09T03:35:08Z
2019-12-09T03:35:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3593
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3593
2019-12-09T03:35:08Z
Full-Duplex Enabled Mobile Edge Caching: From Distributed to Cooperative Caching
Mobile edge caching (MEC) has received much attention as a promising technique to overcome the stringent latency and data hungry requirements in the future generation wireless networks. Meanwhile, full-duplex (FD) transmission can
potentially double the spectral efficiency by allowing a node to receive and transmit simultaneously. In this paper, we investigate the delivery time performance of full-duplex enabled MEC (FDMEC) systems, in which the users are served by distributed edge nodes (ENs), which operate in FD mode and are equipped with a limited storage memory. Firstly, we analyse the FD-MEC with different levels of cooperation among the ENs and take into account the realistic model of self-interference cancellation. Secondly, we propose a framework to minimize the system delivery time of FD-MEC under both linear and optimal precoding designs. Thirdly, to deal with the non-convexity of the formulated problems, two iterative optimization algorithms are proposed based on the inner approximation method, whose convergence is analytically guaranteed. Finally, the effectiveness of the proposed designs are demonstrated via extensive numerical results. It is shown that the cooperative scheme mitigates inter-user and self interference significantly better than the distributed scheme at the expense of inter-EN cooperation. In addition, we show that minimum mean square error (MMSE)-based precoding design achieves the best performance-complexity trade-off, compared with the zero-forcing and optimal designs.
Xuan Thang Vu
thang.vu85@gmail.com
Symeon Chatzinotas
Chatzinotas@gmail.com
Bj¨orn Ottersten
bjorn.ottersten@uni.lu
Anh Vu Trinh
vuta@vnu.edu.vn
2019-12-09T03:31:02Z
2019-12-09T03:31:02Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3307
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3307
2019-12-09T03:31:02Z
Dielectrophoresis Microfluidic Enrichment Platform with Built-In Capacitive Sensor for Rare Tumor Cell Detection
The manipulation and detection of rare cells are important for many applications in early disease diagnosis and medicine. This study presents a dielectrophoresis (DEP) microfluidic enrichment platform combined with a built-in capacitive sensor for circulating tumor cell detection. The microchip is composed of a lollipop-shaped gold microelectrode structure under a polydimethylsiloxane chamber. A prototype of the device was fabricated using standard micromachining technology. With the proposed device, target cells (in this study, A549 non-small human lung carcinoma and S-180 sarcoma cell lines) are firstly guided toward the center of the working chamber via DEP forces. Then, the target cells are captured by an electrode immobilized by anti-EGFR, which has high affinity toward the target cells. After the cell concentration process, the differential capacitance is read to detect the presence of the target cells. Numerical simulations and measurement experiments were performed to demonstrate the high sensitivity of differential capacitive sensing. The obtained results show high sensitivity for S-180 cell detection (3 mV/cell). The proposed platform is suitable for rapid cancer diagnoses and other metabolic disease applications.
Loc Quang Do
locdq@hus.edu.vn
Thi Thuy Ha Tran
tttha@gmail.com
Thanh Tung Bui
tungbt@vnu.edu.vn
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Ngoc Viet Nguyen
vietnn.mt@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Chun-Ping Jen
Chun-Ping@gmail.com
2019-12-09T03:30:20Z
2019-12-09T03:30:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3306
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3306
2019-12-09T03:30:20Z
Estimating the effect of asymmetric electrodes in bipolar discharge ion wind generator
We present the study of a simple and efficient air-flow generator under the effect of ion winds which are created by corona discharge. Based on our recent research of ion wind with bipolar corona using parallel pins, this work reports an investigation and evaluation of the corona system in asymmetrical configurations, where the two electrodes have different tip shapes. The system of new configuration is investigated both experimentally and numerically. The numerical and experimental results are the base to establish a regime of stable operation by the measured I-V characteristics. The optimized set-up can generate an air flow up to 2.24 m/s at the atmospheric pressure. With the asymmetrical configuration of different pin tip shapes, the system can be used in many mixing applications such as mixing solvent and die where the generated vortices are also presented.
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Lam Bao Dang
lambao@gmail.com
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
Thanh Tung Bui
tungbt@vnu.edu.vn
2019-12-09T03:29:45Z
2019-12-09T03:29:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3305
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3305
2019-12-09T03:29:45Z
Particle precipitation by bipolar corona discharge ion winds
The paper reports the development of a particle precipitation based aerosol sampler using bipolar corona discharge ion winds with collected particles of minimized net charge. For the new approach, neutralized particles move towards a sampler under the effect of electric field and dual ion winds. Since there is no electrode or sampling chip installed inside the air-flow channel, impediments to airborne particle flow or ion winds are removed along the flow direction. In addition, the isolation of ion winds, which generate circuit, allows using various materials for the sampling chip including non-conductors and also protecting collected particles from any discharge ignition on the chip. The device mechanism is numerically simulated in OpenFOAM to study the electrofluidodynamic interaction of charged particles and bipolar ion winds. The efficiency of the new approach has been investigated by experiment with a maximum efficiency of 94%. The effects of flow rate, discharge voltage and electrode distances on the method are also evaluated.
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Thanh Tung Bui
tungbt@vnu.edu.vn
2019-12-04T07:37:40Z
2020-12-25T11:04:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3704
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3704
2019-12-04T07:37:40Z
Simulation study of two-dimensional viscoelastic imaging of soft tissues using the extended Kalman filter for tumor detection
The mechanical properties of tissues in terms of elasticity and viscosity provide useful information for tumor detection. Recently, shear wave imaging has been developed to quantify tissue elasticity by estimating the parameters of the complex shear modulus (CSM). The current challenges of CSM estimation are estimation accuracy, computational complexity, and dealing with heterogeneous media. In this paper, we propose a two-dimensional CSM imaging method based on the extended Kalman filter (EKF). Firstly, particle velocities at spatial locations are acquired by using a Doppler ultrasound system. Then, the EKF is used to estimate the CSM at each spatial point, and hence for an area of interest using ray scanning. Finally, the CSM images are also enhanced using several image processing algorithms. Simulated experiment and performance studies are carried out to confirm the quality of the proposed method.
Quang Ha Luong
luonghai@mta.edu.vn
Duc Tan Tran
tan.tranduc@phenikaa-uni.edu.vn
Linh Trung Nguyen
linhtrung@vnu.edu.vn
Huu Tue Huynh
Ngoc Minh Do
2019-11-29T03:37:53Z
2019-11-29T03:37:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3671
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3671
2019-11-29T03:37:53Z
A hybrid genetic algorithm for the traveling salesman problem with drone
This paper addresses the traveling salesman problem with drone (TSP-D), in which a truck and drone are used to deliver parcels to customers. The objective of this problem is to either minimize the total operational cost (min-cost TSP-D) or minimize the completion time for the truck and drone (min-time TSP-D). This problem has gained a lot of attention in the last few years reflecting the recent trends in a new delivery method among logistics companies. To solve the TSP-D, we propose a hybrid genetic search with dynamic population management and adaptive diversity control based on a split algorithm, problem-tailored crossover and local search operators, a new restore method to advance the convergence and an adaptive penalization mechanism to dynamically balance the search between feasible/infeasible solutions. The computational results show that the proposed algorithm outperforms two existing methods in terms of solution quality and improves many best known solutions found in the literature. Moreover, various analyses on the impacts of crossover choice and heuristic components have been conducted to investigate their sensitivity to the performance of our method.
Quang Minh Ha
Yves Deville
Quang Dung Pham
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
2019-11-29T03:37:09Z
2019-11-29T03:37:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3670
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3670
2019-11-29T03:37:09Z
A distance-based approach for merging probabilistic knowledge bases
In the stages of development of probabilistic expert systems, knowledge merging is a major concern. To deal with knowledge merging problems, several approaches have been put forward. However, in the proposed models, each original probabilistic knowledge base (PKB) is represented by a set of probabilistic functions fulfilling such knowledge base. The drawbacks of the solutions are that the output of model is also a set of probabilistic functions satisfying the resulting PKB and there is no algorithm for implementing the merging process of PKBs in which each of them consists of probabilistic constraints. In this paper, distance-based approach is utilized to propose a new method of merging PKBs to ensure that both the input and output of methods are represented by sets of probabilistic constraints. To this aim, the relationship between the probability rules and the probabilistic constraints, and the several transformation methods for the representation of the original PKB are presented, a set of merging operators (MOs) is proposed, and several desirable logical properties are investigated and discussed. Several algorithms for merging PKBs are presented and the computational complexities of these algorithms are also analyzed and evaluated.
Van Tham Nguyen
thamnv.nute@gmail.com
Ngoc Thanh Nguyen
ngoc-thanh.nguyen@pwr.wroc.pl
Trong Hieu Tran
hieutt@vnu.edu.vn
2019-11-28T09:01:47Z
2019-11-29T05:48:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3587
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3587
2019-11-28T09:01:47Z
High thermoelectric power factor in SnSe2 thin film grown on Al2O3 substrate
Thermoelectric figure of merit (ZT) is highly sensitive to the carrier concentration and maximizes within the narrow region of 1019–1020 cm−3. The SnSe2 single crystal is predicted to have a high ZT value with carrier concentration in the range of 1019–1020 cm−3. Here, we grew SnSe2 thin film on Al2O3 substrate by pulsed laser deposition (PLD) with post-annealing at 400 °C in Argon for 60 min. The annealed thin film shows a high thermoelectric power factor up to 8 μW cm−1 K−2 at 220 K with a carrier concentration of 5.2 × 1019 cm−3. A hexagonal crystal structure of the SnSe2 thin film was confirmed by X-ray diffraction and Raman spectra measurements. The thin film showed an n-type semiconductor behavior. Maximum electrical conductivity and Seebeck coefficient were obtained at 220 K with the values of 210 Scm−1 and −192 μVK−1, respectively.
Anh Tuan Duong
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
Manh Nghia Nguyen
Thi Minh Hai Nguen
Anh Duc Nguyen
Anh Tuan Pham
Parman Ullah
Tahir Zeeshan
Yong Soo Kim
Quang Trung Do
Tu Nguyen
Van Hao Bui
Das Raja
Thanh Huy Pham
Sunglae Cho
2019-11-28T01:49:17Z
2019-11-28T01:49:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3636
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3636
2019-11-28T01:49:17Z
Nonlinear buckling of eccentrically stiffened nanocomposite cylindrical panels in thermal environments
Based on Reddy's third order shear deformation shell theory and Galerkin method, this paper introduces analytical solutions to study nonlinear buckling behaviors of imperfect carbon nanotube reinforced composite cylindrical panels on elastic foundations in thermal environments. The panels are reinforced by single-walled carbon nanotubes and the eccentrically longitudinal and transversal stiffeners. The effects of geometrical parameters, eccentrically stiffeners, elastic foundations, initial imperfection, temperature increment and nanotube volume fraction on the mechanical behaviors of the nanocomposite cylindrical panels are also examined in numerical results. Some comparisons with results of other authors show the accuracy of the present theory and approach.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Quoc Quan Tran
Tuan Manh Duong
Huy Cuong Nguyen
2019-11-28T01:46:38Z
2019-11-28T01:46:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3644
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3644
2019-11-28T01:46:38Z
The effect of cracks on the stability of the functionally graded plates with variable-thickness using HSDT and phase-field theory
In this paper, the stability in a rectangular functionally grade material (FGM) plate with central crack is studied. The plate thickness is changed exponentially following the length of the plate. The properties of the FGM plate are assumed to vary along the thickness direction according to a simple power law distribution. Based on the phase-field theory, the new third order shear deformation plate theory (TSDT) and the finite element method (FEM), the stability of cracked FGM plate is determined. The obtained numerical results are compared with the published articles to ensure credibility. The work also considered effects of changing of the plate thickness ratio, length, crack angle and volume fraction exponent of the functionally graded material on the stability of the plate. Lastly, some visual images of the mechanical instability forms of cracked FGM plates will be introduced.
Minh Phuc Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-11-28T01:46:19Z
2019-11-29T05:52:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3645
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3645
2019-11-28T01:46:19Z
Nonlinear Vibration of Carbon Nanotube Reinforced Composite Truncated Conical Shells in Thermal Environment
This paper is concerned with the nonlinear vibration and dynamic response of carbon nanotube (CNT) reinforced composite truncated conical shells resting on elastic foundations in a thermal environment. The material properties of shells are assumed to be temperature-dependent and graded in the thickness direction according to various linear functions. The nonlinear equations of motion are expressed in the form of two-component deflection function and solved by the analytical method. Detailed studies for the influences of various types of distribution and volume fractions of CNTs, geometrical parameters, Winkler and Pasternak elastic foundations on the dynamic response and nonlinear vibration of CNT polymer composite truncated conical shells are examined and the comparison study is carried out to verify the accuracy and efficiency of the proposed method.
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
Dinh Quang Vu
quangvd2510@gmail.com
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-11-28T01:45:58Z
2019-11-28T01:45:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3646
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3646
2019-11-28T01:45:58Z
An analytical solution for nonlinear dynamic response and vibration of FG‐CNT reinforced nanocomposite elliptical cylindrical shells resting on elastic foundations
The present study deals with the nonlinear dynamic response and vibration of functionally graded carbon nanotubes (FG‐CNT) reinforced composite elliptical cylindrical shells resting on elastic foundations in thermal environments using an analytical solution. The nanocomposite elliptical cylindrical shells are reinforced by single‐walled carbon nanotubes. Based on the classical shell theory with the geometrical nonlinearity in von Kármán and the nonlinear dynamic response and vibration results are obtained by using the Airy stress function, Galerkin method, and Runge‐Kutta method. In numerical results, the effect of geometrical parameters, initial geometric imperfection, Winkler and Pasternak elastic foundations and volume fractions and types of distribution of CNTs on the nonlinear dynamic response and vibration of FG‐CNT reinforced composite elliptical cylindrical shells are investigated.
Dinh Dat Ngo
Dinh Khoa Nguyen
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-11-28T01:45:21Z
2019-11-28T01:45:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3634
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3634
2019-11-28T01:45:21Z
Nonlinear post-buckling of CNTs reinforced sandwich-structured composite annular spherical shells
Tuan Manh Duong
iam.mr.manh@gmail.com
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
2019-11-28T01:43:35Z
2019-11-28T01:43:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3649
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3649
2019-11-28T01:43:35Z
A finite element–based assessment of free vibration behaviour of circular and annular magneto-electro-elastic plates using higher order shear deformation theory
In this article, the free vibration behaviour of circular and annular magneto-electro-elastic plates has been investigated under the framework of higher order shear deformation theory. The three-dimensional finite element formulation has been derived with the aid of Hamilton’s principle by taking into account the coupling between elastic, electric and magnetic properties. The equations of motion are solved using condensation technique. Furthermore, the credibility of proposed finite element formulation has been validated using COMSOL software and also by comparing the results with previously published articles. Special attention has also been paid to assess the influence of parameters such as coupling effect, stacking sequences and inner-to-outer diameter ratio. The numerical results reveal that the coupled natural frequencies of the annular magneto-electro-elastic plates vary significantly with the circular hole dimensions incorporated. The circular and annular plates are considered as one of the prominent structural components in various engineering and industrial application. Therefore, the proposed finite element formulation and the results presented in this article can serve as benchmark solutions for the design and analysis of smart sensors and actuators.
Vinyas M.
Sundar S.
Thoi Trung Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-11-28T01:42:41Z
2019-11-28T01:43:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3648
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3648
2019-11-28T01:42:41Z
Nonlinear dynamic response and vibration of shear deformable piezoelectric functionally graded truncated conical panel in thermal environments
The novelty of this study is using the analytical approach to investigate the nonlinear dynamic response and vibration of shear deformable functionally graded truncated conical panel with piezoelectric actuators, resting on Pasternak type elastic foundations in thermal environments. Material properties are graded in the thickness direction according to a simple power law distribution in terms of the fractions of constituents. The governing equations are derived based on the first order shear deformation shell theory with a von Karman – Donnell type of kinematic nonlinearity in which the Hamilton's principle is used to derive the equations of motion of piezoelectric functionally graded truncated conical panel. The those equations are solved by the Galerkin method and Runge – Kutta method to determine the nonlinear deflection amplitude – time curves and natural frequency of the functionally graded panel. In numerical results, the effects of applied actuator voltage, temperature increment, dimensional parameters, semi – vertex angle, material properties and elastic foundations on the nonlinear dynamic response and vibration of the piezoelectric functionally graded truncated conical panel are discussed in details. The approach are verified with the known results in the literature.
Quang Chan Do
Quoc Quan Tran
quantq1505@gmail.com
Seung-Eock Kim
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-11-27T07:06:06Z
2019-11-27T07:06:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3406
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3406
2019-11-27T07:06:06Z
Enhanced magneto-transport and thermoelectric properties of MnP nanorod thin flms grown on Si (1 0 0)
The MnP thin flms were grown on Si (1 0 0) substrates at 300 and 400 °C using molecular beam epitaxy (MBE). The flms crystallize in an orthorhombic structure. FESEM images indicated that both flms are composed of vertically aligned MnP nanorods. However, the density of the nanorods in the flm grown at 400 °C is higher
than that grown at 300 °C, leading to a considerable decrease of resistivity in this sample. Both flms showed a ferromagnetic behavior, but the Curie temperature
increased from 275 K for the flm grown at 300 °C to 325 K for the flm grown at 400 °C. Anomalous Hall effect (AHE) and negative magneto-resistance (MR) were
observed in the flms. While both flms exhibited a metallic behavior, a higher thermoelectric power factor (PF) was achieved for the flm grown at 400 °C
Anh Tuan Duong
Thi Minh Hai Nguyen
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
Das Raja
Huu Tuan Nguyen
Bach Thang Phan
Sunglae Cho
2019-11-26T07:43:11Z
2019-11-26T07:43:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3586
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3586
2019-11-26T07:43:11Z
IoT-enabled dependable control for solar energy harvesting in smart buildings
Efficiency and reliability have been essential requirements for energy generation in smart cities. This paper presents the design and development of dependable control schemes for microgrid management, which can be seamlessly integrated into the management system of smart buildings. Here, to recover from failures in the solar energy system of a building microgrid, dependable controllers are proposed along with its hardware implementation. The system features the use of Internet of Things (IoT) as its core to coordinate the operation of multiple subsystems in a scalable manner. The control scheme uses a number of controllers cooperatively functioning via a token-based mechanism within the network to provide redundancy and thus reliability in solar tracking. The system exploits data from not only local in-situ sensors but also online sources via IoT networks for fault-tolerant control. Experiments conducted in a twelve-storey building indicate the harvested solar energy meeting the design requirement while the control reliability is maintained in face of communication or hardware disruptions. The results confirmed the validity of the proposed approach and its applicability to energy management in smart buildings.
Manh Duong Phung
duongpm@vnu.edu.vn
Ha Quang
quang.ha@uts.edu.au
2019-11-08T03:20:07Z
2019-11-08T03:20:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3585
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3585
2019-11-08T03:20:07Z
A novel reconfigurable router for QoS guarantees in real-time NoC-based MPSoCs
This paper presents a proposal and implementation of a multi-mode full-reconfigurable router for Network-on-Chip (NoC). First, the router supports a hybrid packet-switching architecture that is dynamically reconfigurable to exchange between wormhole and virtual cut-through switching schemes at run-time. Therefore, it reaches a higher average performance than wormhole switching, while decreasing the implementation cost in comparison with the virtual cut-through switching. Second, the router is equipped a Quality-of-Services (QoS)-driven arbiter. Therefore, the proposed solution not only guarantees the guaranteed-throughput service without reserving resources but also enhances the average performance for the best-effort service by using network resources efficiently based on the priority inheritance arbitration mechanism. Third, the router is enhanced with the dynamically deadline-aware rerouting mechanism. In contention situation, the router can configure the routing computation unit to reroute the packet to another path so as to reduce the waiting interval of the blocked packets. The router was designed at the Register Transfer Level and modeled using VHDL language and then synthesized with Xilinx Virtex-7 FPGA technology. The experimental results prove that the proposed router is reliable and can improve the average performance of different QoS loads significantly compared with the generic routers while the area and power overhead are acceptable.
Kiem Hung Nguyen
kiemhung@vnu.edu.vn
Xuan Tu Tran
tutx@vnu.edu.vn
2019-11-01T16:40:19Z
2019-11-01T16:40:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3580
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3580
2019-11-01T16:40:19Z
USLTG: Test Case Automatic Generation by Transforming Use Cases
This paper proposes a transformation-based method to automatically generate functional test cases from use cases named USLTG (USL-based Test Generation). We first focus on developing a modeling language named Test Case Specification Language (TCSL) in order to express test cases. Test cases in TCSL can contain detailed information including test steps, test objects within steps, actions of test objects, and test data. Such information is often ignored in currently available test case specifications. We then aim to generate test cases in a TCSL model by a transformation from use cases that are represented by a Use case Specification Language (USL). The USLTG transformation includes three main steps, in generating: (1) scenarios, (2) test data, and (3) a TCSL model. Within our transformation, the OCL solver is employed in order to build system snapshots as the part of test cases and to identify other test data. We applied our method to two case studies and evaluated our method by comparing with other recent works.
Minh Hue Chu
Duc Hanh Dang
hanhdd@vnu.edu.vn
Ngoc Binh Nguyen
nnbinh@vnu.edu.vn
Anh Hoang Truong
hoangta@vnu.edu.vn
2019-10-14T03:02:09Z
2019-10-14T03:02:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3571
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3571
2019-10-14T03:02:09Z
Matched Field Processing For Source Localization Based on
An Approach of Riemannian Geometry
The matched field processing (MFP) for source localization has long history, and remains a viable area of research as well as application of SONAR. Some methods such as empirical mode decomposition, adaptive MFP, compressive MFP and MFP using Riemannian geometry have been introduced recently in order to increase the performance of conventional MFP. In case of ocean environment variability, there are many modeled field replicas thus the number of degree of freedom is increased, consequently the true source selection becomes more complexity. In this paper, we presents a MFP using an approach of Riemannian geometry in which Riemannian distance is obtained in close-form from a new isometric mapping and 20 modeled field replicas that are received in simulation from variable sound speeds. On the basis of the proposed MFP and simulation results, the source localization could be found in a more realistic manner.
Quyen Tran Cao
quyentc@vnu.edu.vn
2019-08-21T03:37:07Z
2019-08-21T03:37:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3543
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3543
2019-08-21T03:37:07Z
Effect of Crystallization Temperature on Energy-Storage Density and Efficiency of Lead-Free Bi0.5(Na0.8K0.2)0.5TiO3 Thin Films Prepared by Sol-Gel Method
Dang Co Nguyen
nguyendangco.95@gmail.com
Viet Cuong Le
cuonglv@vnu.edu.vn
Dinh Tu Bui
buidinhtu@vnu.edu.vn
Duc Thang Pham
pdthang@vnu.edu.vn
Xuan Dien Luong
Ngoc Hung Vu
Duc Quan Ngo
2019-08-21T03:35:43Z
2019-08-21T03:35:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3552
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3552
2019-08-21T03:35:43Z
Summit Navigator: A Novel Approach for Local Maxima Extraction
This paper presents a novel method, called the Summit Navigator, to effectively extract local maxima of an image histogram for multi-object segmentation of images. After smoothing with a moving average filter, the obtained histogram is analyzed, based on the data density and distribution to find the best observing location. An observability index for each initial peak is proposed to evaluate if it can be considered as dominant by using the calculated observing location. Recursive algorithms are then developed for peak searching and merging to remove any false detection of peaks that are located on one side of each mode. Experimental results demonstrated the advantages of the proposed approach in terms of accuracy and consistency in different reputable datasets.
Tran Hiep Dinh
tranhiep.dinh@vnu.edu.vn
Manh Duong Phung
duongpm@vnu.edu.vn
Quang Ha
quang.ha@uts.edu.au
2019-08-21T03:35:11Z
2019-08-21T03:35:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3551
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3551
2019-08-21T03:35:11Z
Bisimulation and bisimilarity for fuzzy description logics under the Gödel semantics
Description logics (DLs) are a suitable formalism for representing knowledge about domains in which objects are described not only by attributes but also by binary relations between objects. Fuzzy extensions of DLs can be used for such domains when data and knowledge about them are vague and imprecise. One of the possible ways to specify classes of objects in such domains is to use concepts in fuzzy DLs. As DLs are variants of modal logics, indiscernibility in DLs is characterized by bisimilarity. The bisimilarity relation of an interpretation is the largest auto-bisimulation of that interpretation. In DLs and their fuzzy extensions, such equivalence relations can be used for concept learning. In this paper, we define and study fuzzy bisimulation and bisimilarity for fuzzy DLs under the Gödel semantics, as well as crisp bisimulation and strong bisimilarity for such logics extended with involutive negation. The considered logics are fuzzy extensions of the DL (a variant of PDL) with additional features among inverse roles, nominals, (qualified or unqualified) number restrictions, the universal role, local reflexivity of a role and involutive negation. We formulate and prove results on invariance of concepts under fuzzy (resp. crisp) bisimulation, conditional invariance of fuzzy TBoxes/ABoxes under bisimilarity (resp. strong bisimilarity), and the Hennessy-Milner property of fuzzy (resp. crisp) bisimulation for fuzzy DLs without (resp. with) involutive negation under the Gödel semantics. Apart from these fundamental results, we also provide results on using fuzzy bisimulation to separate the expressive powers of fuzzy DLs, as well as results on using strong bisimilarity to minimize fuzzy interpretations.
Linh Anh Nguyen
Quang Thuy Ha
thuyhq@vnu.edu.vn
Ngoc Thanh Nguyen
Thi Hong Khanh Nguyen
Thanh Luong Tran
2019-08-08T02:38:21Z
2019-08-08T02:38:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3546
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3546
2019-08-08T02:38:21Z
Multiple UAV Coordination based on the Internet of Things for Real-time Surface Inspection
This paper presents a real-time control system for surface inspection using multiple unmanned aerial vehicles (UAVs). The UAVs are coordinated in a specific formation to collect data of the inspecting objects. The communication platform for data transmission is based on the Internet of Things (IoT). In the proposed architecture, the UAV formation is established via using the angle-encoded particle swarm optimisation to generate an inspecting path and redistribute it to each UAV where communication links are embedded with an IoT board for network and data processing capabilities. Data collected are transmitted in real time through the network to remote computational units. To detect potential damage or defects, an online image processing technique is proposed and implemented based on histograms. Extensive simulation, experiments and comparisons have been conducted to verify the validity and performance of the proposed system.
Van Truong Hoang
Manh Duong Phung
duongpm@vnu.edu.vn
Tran Hiep Dinh
tranhiep.dinh@vnu.edu.vn
Quang Ha
2019-07-05T03:10:53Z
2019-07-05T03:10:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3538
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3538
2019-07-05T03:10:53Z
Effect of Crystallization Temperature on Energy-Storage Density and Efficiency of Lead-Free Bi0.5(Na0.8K0.2)0.5TiO3 Thin Films Prepared by Sol-Gel Method
Lead-free Bi0.5(Na0.80K0.20)0.5TiO3 (BNKT) ferroelectric films were synthesized on Pt/Ti/SiO2/Si substrates via the chemical solution deposition. The influence of the crystallization temperature on the microstructures, the ferroelectric and energy-storage properties of the films was investigated in detail. The results showed that the BNKT films have reached the well crystallized state in the single-phase perovskite structure at 700oC. Ferroelectric and energystorage properties of the films were significantly enhanced by increasing the crystallization
temperature. The remnant polarization (2Pr) and maximum polarization (2Pm) reached the highest values of 18.4 µC/cm2 and 61.2 µC/cm2, respectively, under the electric field of 300 kV/cm. Thanks to the strong enhancement in 2Pm and the large Pmax - Pr value, the highest energy-storage density (Jreco) and efficiency of 2.3 J/cm3 and 58.2%, respectively, were obtained. These results indicate that the BNKT films have application potentials in advanced
capacitors.
Dinh Tu Bui
buidinhtu@vnu.edu.vn
Dang Co Nguyen
nguyendangco.95@gmail.com
Viet Cuong Le
cuonglv@vnu.edu.vn
Duc Thang Pham
pdthang@vnu.edu.vn
2019-07-05T03:06:17Z
2019-07-05T03:06:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3493
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3493
2019-07-05T03:06:17Z
Numerical analysis of the vibration response of skew magneto-electro-elastic plates based on the higher-order shear deformation theory
This work addresses the problem of the three-dimensional free vibration behavior of skew magneto-electro-elastic plates under the framework of a higher order shear deformation theory. To this end, the finite element method was adopted considering the Hamilton’s principle. The results obtained from the present finite element model are verified with the simulation results of COMSOL software. Further, a parametric study is carried out to evaluate the influence of boundary conditions, stacking sequence, aspect ratio, and the length-to-width ratio. A special emphasis has been given to the natural frequency characteristics of multiphase skew magneto-electro-elastic plates as well. The results from the present analyses allow concluding on the significant influence that the geometrical skewness has on the free vibration behavior of these plates.
Vinyas M.
Nischith G.
Loja M.A.R.
Ebrahimi F.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-07-05T03:05:29Z
2019-07-05T03:05:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3492
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3492
2019-07-05T03:05:29Z
Effects of porosity and thermomechanical loading on free vibration and nonlinear dynamic response of functionally graded sandwich shells with double curvature
The fundamental frequencies and nonlinear dynamic responses of functionally graded sandwich shells with double curvature under the influence of thermomechanical loadings and porosities are investigated in this study. Two material models are considered. The continuity requirement of material properties throughout layers are fulfilled by newly introducing refined effects of two porosity types regarding the average of constituent properties weighted by the porosity volume fraction. The first-order shear deformation theory taking the out-of-plane shear deformation into account is employed to obtain the Lagrange equation of motions. The number of primary variables reduces from five to three after introducing the Airy stress function. The system of dynamic governing equations is obtained by utilizing the Bubnov–Galerkin procedure. The natural frequencies are analytically computed by solving eigenvalue problems, and the fundamental frequencies are acquired by further assumptions about the inertial force caused by the shell rotation variables. The nonlinear dynamic responses of the functionally graded spherical, cylindrical, and hyperbolic paraboloid shells under the influence of different geometry configurations, loading conditions, and porosity types and degrees are obtained by applying the fourth-order Runge–Kutta method. The numerical results are presented and verified with available studies in the literature. Although porosities are usually considered material defects weakening the structure performance, this study has proved clearly that porosities stiffen the shell structures to some extent.
Minh Chien Trinh
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
2019-07-05T01:54:10Z
2019-07-05T01:54:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3491
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3491
2019-07-05T01:54:10Z
Free vibration and nonlinear dynamic response of imperfect nanocomposite FG-CNTRC double curved shallow shells in thermal environment
Analytical solutions for the nonlinear vibration of imperfect functionally graded nanocomposite (FG-CNTRC) double curved shallow shells on elastic foundations subjected to mechanical load in thermal environments are introduced in this paper. The double curved shallow shells are reinforced by single-walled carbon nanotubes (SWCNTs) which are assumed to be graded through the thickness direction according to the different types of linear functions. Motion and compatibility equations are derived using Reddy's higher order shear deformation shell theory and taking into account the effects of initial geometrical imperfection and temperature – dependent properties. The deflection – time curve and the natural frequency are determined by using Galerkin method and fourth – order Runge – Kutta method. The effects geometrical parameters, elastic foundations, initial imperfection, temperature increment, mechanical loads and nanotube volume fraction on the nonlinear thermal vibration of the nanocomposite double curved shallow shells are discussed in numerical results. The accuracy of present approach and theoretical results is verified by some comparisons with the known data in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hadavinia Homayoun
Quoc Quan Tran
quantq1505@gmail.com
Dinh Khoa Nguyen
2019-07-05T01:53:21Z
2019-07-05T01:53:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3490
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3490
2019-07-05T01:53:21Z
Nonlinear thermal vibration of carbon nanotube polymer composite elliptical cylindrical shells
This paper investigated the nonlinear
vibration and dynamic response of the carbon nanotube polymer composite elliptical cylindrical shells
on elastic foundations in thermal environment. The
material properties of the nanocomposite elliptical
cylindrical shells are assumed to depend on temperature and graded in the thickness direction according
to various linear functions. The shell is subjected to the
combination of the uniformly distributed transverse
load in harmonic form and the uniform temperature
rise. The motion and geometrical compatibility equations are derived based on the Reddy’s higher order
shear deformation shell theory. The natural frequencies and the deflection amplitude–time curves of the
shell are determined by using the Galerkin method and
fourth-order Runge–Kutta method. The numerical
results show not only the positive influences of carbon
Dinh Dat Ngo
Quoc Quan Tran
quantq1505@gmail.com
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-07-05T01:52:29Z
2019-07-05T01:52:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3489
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3489
2019-07-05T01:52:29Z
Usability and challenges of offshore wind energy in Vietnam revealed by the regional climate model simulation
This study revealed great potential and shortcoming of offshore wind energy in Vietnam by numerical simulations with Weather Research and Forecasting (WRF) model at 10 km resolution for 10 years (2006-2015). The greatest energy potential was found in the offshore area of Phu Quy island (Binh Thuan province). The area, alone, can provide the 38.2 GW power generation capacity corresponding to the increasing renewable-energy demand by 2030 planned by the country. There is also a drawback of the wind resource, which is associated with strong multiple-scale temporal variabilities. The seasonal variability associated with monsoon onsets and daily variability associated with the wind diurnal cycles were found ranging 30-50%. Meanwhile, the inter-annual variability could reach up to 10%. These variabilities must be considered when designing wind farms and grids over the region. Additionally, due to the fact that the WRF model performed climatological features of the winds well against the observations, this results indicate that it can be useful tools for wind-power assessment as compared to other reanalysis or QuikSCAT data with courser spatio-temporal resolutions.
Van Q.Doan
Dinh Van-Nguyen
Kusaka Hiroyuki
Thanh Cong
Toan Du Van
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-07-05T01:51:19Z
2019-07-05T01:51:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3488
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3488
2019-07-05T01:51:19Z
Nonlinear vibration and dynamic buckling of eccentrically oblique stiffened FGM plates resting on elastic foundations in thermal environment
This paper presents a semi-analytical approach to investigate the nonlinear dynamic response and vibration of eccentrically oblique stiffened functionally graded plate resting on elastic foundation. The Lekhnitskii's smeared stiffener technique is improved by using a transformation technique for oblique stiffeners. Governing equations are solved by classical shell theory, Galerkin method, stress function with temperature-dependent material effects. The results show the influences of geometrical parameters, material properties, imperfection, the elastic foundations, eccentrically oblique stiffeners, mechanical loads and temperature on the nonlinear dynamic response and nonlinear vibration of plates. The numerical results in this paper are compared with the results reported in other reports.
Seung-Eock Kim
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hoai Nam Vu
Van Sy Nguyen
2019-06-25T15:42:55Z
2019-06-25T15:42:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3509
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3509
2019-06-25T15:42:55Z
On three soft rectangle packing problems with guillotine constraints
We investigate how to partition a rectangular region of length L1 and height L2 into n rectangles of given areas (a1,…,an) using two-stage guillotine cuts, so as to minimize either (i) the sum of the perimeters, (ii) the largest perimeter, or (iii) the maximum aspect ratio of the rectangles. These problems play an important role in the ongoing Vietnamese land-allocation reform, as well as in the optimization of matrix multiplication algorithms. We show that the first problem can be solved to optimality in O(nlogn) , while the two others are NP-hard. We propose mixed integer linear programming formulations and a binary search-based approach for solving the NP-hard problems. Experimental analyses are conducted to compare the solution approaches in terms of computational efficiency and solution quality, for different objectives.
Quoc Trung Bui
Thibaut Vidal
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
2019-06-20T22:35:30Z
2019-06-20T22:35:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3506
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3506
2019-06-20T22:35:30Z
Design Optimization of an Anisotropic Magnetoresistance
Sensor for Detection of Magnetic Nanoparticles
Recent studies have shown that the magnetic field sensitivity of an anisotropic magnetoresistance (AMR) sensor using a single-layer Ni80Fe20 thin film can be considerably improved by increasing the shape anisotropy of the film. In this work, an effective approach for improving the sensitivity and reducing the magnetic coercive field as well as the thermal noise contribution in an AMR Wheatstone bridge sensor is proposed by combining multiple resistors in the series–parallel combination circuits. Four different AMR sensor designs, consisting of a single resistor, three and five resistors in series and six resistors in series–parallel connection, were fabricated by using Ta (10 nm)/Ni80Fe20 (5 nm)/Ta (10 nm) films grown on thermally oxidized Si substratesunder the presence and the absence of a biasing magnetic field (900 Oe). Theresults showed that the sensors based on series–parallel combination gain a magnetic sensitivity (SH) 1.72 times higher than that of the sensor based on the series connection. This optimized sensor has improved the capacity of detecting various concentrations of magnetic nanoparticles with a detection limit of magnetic moments estimated to be about 0.56 uemu
Khac Quynh Le
Dinh Tu Bui
Viet Anh Cao
Huu Duc Nguyen
ducnh@vnu.edu.vn
Anh Tuan Phung
Tien Dung Tran
Thi Huong Giang Do
giangdth@vnu.edu.vn
2019-06-20T22:34:01Z
2019-06-20T22:34:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3503
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3503
2019-06-20T22:34:01Z
ON LOCALLY MINIMUM AND STRONGEST ASSUMPTION GENERATION METHOD FOR COMPONENT-BASED SOFTWARE VERIFICATION
Since software becomes more complex during its life cycle, the verification cost becomes higher, especially for such methods which are using model checking in general and assume-guarantee reasoning in specific. To address the problem of reducing the assume-guarantee verification cost, this paper presents a method to generate locally minimum and strongest assumptions for verification of component-based software. For this purpose, we integrate a variant of membership queries answering technique to an algorithm which considers candidate assumptions that are smaller and stronger first, larger and weaker later. Because the algorithm stops as soon as it reaches a conclusive result, the generated assumptions are the locally minimum and strongest ones. The correctness proof of the proposed algorithm is also included in the paper. An implemented tool, test data, and experimental results are presented and discussed.
Hoang Viet Tran
vietth2004@gmail.com
Ngoc Hung Pham
hungpn@vnu.edu.vn
Viet Ha Nguyen
hanv@vnu.edu.vn
2019-06-20T22:33:53Z
2019-06-20T22:33:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3502
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3502
2019-06-20T22:33:53Z
ON IMPROVEMENTS OF DIRECTED AUTOMATED RANDOM TESTING IN TEST DATA GENERATION FOR C++ PROJECTS
This paper improves the breadth-first search strategy in directed automated random testing (DART) to generate a fewer number of test data while gaining higher branch coverage, namely Static DART or SDART for short. In addition, the paper extends the test data compilation mechanism in DART, which currently only supports the projects written in C, to generate test data for C++ projects. The main idea of SDART is when it is less likely to increase code coverage with the current path selection strategies, the static test data generation will be applied with the expectation that more branches are covered earlier. Furthermore, in order to extend the test data compilation of DART for C++ context, the paper suggests a general test driver technique for C++ which supports various types of parameters including basic types, arrays, pointers, and derived types. Currently, an experimental tool has been implemented based on the proposal in order to demonstrate its efficacy in practice. The results have shown that SDART achieves higher branch coverage with a fewer number of test data in comparison with that of DART in practice.
Duc Anh Nguyen
nguyenducanh@vnu.edu.vn
Nguyen Huong Tran
17028005@vnu.edu.vn
Dinh Hieu Vo
hieuvd@vnu.edu.vn
Ngoc Hung Pham
hungpn@vnu.edu.vn
2019-06-19T12:28:55Z
2019-06-19T12:28:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3531
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3531
2019-06-19T12:28:55Z
A Model Based Poisson Point Process for Downlink Cellular Networks Using Joint Scheduling
This paper proposes a model based on a random cellular network to analyse performance of Joint Scheduling in which a typical user measures signal-to-interference-plus-noise ratio (SINR) on different resource blocks from K nearest BSs in order to find out the BS with the highest SINR to establish communication. The paper derives the general form of average coverage probability of a typical user in the case of $$K>2$$K>2and its close-form expression in the case of $$K=2$$K=2. The analytical results which are verified by Monte Carlo simulation indicates that (1) using the Joint Scheduling can improve the user's performance up to $$34.88 \backslash%$$34.88%in the case of the path loss exponent $$\backslashalpha = 3$$α=3; (2) the effect of the density of BSs on the user association probability is infinitesimal.
Sinh Cong Lam
congls@vnu.edu.vn
Kumbesan Sandrasegaran
kumbesan.sandrasegaran@uts.edu.au
2019-06-16T10:16:50Z
2019-06-16T10:16:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3482
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3482
2019-06-16T10:16:50Z
On The Successful Delivery Probability of
Full-Duplex Enabled Mobile Edge Caching
Cache hit ratio (CHR) is a common metric to evaluate the performance of an edge caching system. While CHR is efficient to measure throughput reduction gain, it fails to
guarantee user quality of experience since CHR does not capture the last-mile channel uncertainty. In this letter, we investigate a novel successful delivery probability (SDP) metric of full-duplex enabled mobile edge caching (FD-MEC) systems, defined as a probability that the user receives the requested file before a tolerable delay. Firstly, the average SDP is derived in a closed-form expression for arbitrary caching policy and network topology, taking into account the wireless fading distribution. Secondly, based on the derived SDP, a sub-optimal cache placement is proposed to maximize the average SDP, which is analytically shown to surpass both the most popular and uniform caching policies. Finally numerical results are presented to verify the accuracy of our analysis and demonstrate the effectiveness of our proposed cache placement design.
Thang Vu Xuan
thang.vu@uni.lu
Lei Lei
LeiLei@gmail.com
Symeon Chatzinotas
Chatzinotas@gmail.com
Bj¨orn Ottersten
bjorn.ottersten@uni.lu
Vu Trinh Anh
vuta@vnu.edu.vn
2019-06-03T04:23:07Z
2019-06-03T04:23:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3461
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3461
2019-06-03T04:23:07Z
Nonlinear dynamic response and vibration of functionally graded nanocomposite cylindrical panel reinforced by carbon nanotubes in thermal environment
This paper investigated the nonlinear dynamic response and vibration of functionally graded carbon nanotubes-reinforced composite cylindrical panels with the support elastic foundations subjected to mechanical, thermal, and damping loads based on Reddy’s higher order shear deformation shell theory. The cylindrical panel is reinforced by single-walled carbon nanotubes which are graded through the panel thickness according to the different linear functions. The effective material properties of the panel are assumed to depend on temperature and estimated through the rule of mixture. The nonlinear dynamic response and natural frequency for functionally graded carbon nanotubes-reinforced composite cylindrical panel are determined by applying the Galerkin method and fourth-order Runge–Kutta method. In numerical results, the effects of geometrical parameters, temperature increment, nanotube volume fraction, elastic foundations, and types of carbon nanotubes distributions on the nonlinear vibration of functionally graded carbon nanotubes-reinforced composite cylindrical panel are studied and discussed in detail. The present theory and approach are validated by comparing with those in the literature.
Dinh Khoa Nguyen
Minh Anh Vu
vuminhanhhp@gmail.com
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-06-03T04:21:27Z
2019-06-03T04:21:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3460
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3460
2019-06-03T04:21:27Z
Nonlinear buckling and post-buckling of eccentrically oblique stiffened sandwich functionally graded double curved shallow shells
This paper aims to investigate the nonlinear buckling and post-buckling of eccentrically oblique stiffened sandwich functionally graded double curved shallow shells resting on elastic foundations in thermal environment. The shells are reinforced by functionally graded eccentrically oblique stiffeners with deviation angles. Two types of sandwich functionally graded double curved shallow shells with the differences of distribution of functionally graded face sheets and homogeneous core are considered. Material properties of the sandwich shells and stiffeners are assumed to vary continuously and smoothly in the thickness direction according to Sigmoid power law. The formula of force and moment resultants and the nonlinear equilibrium equations are established based on the improved Donnell theory and Lekhnitskii's smeared stiffeners technique. The analytical displacement solutions are chosen based on the trigonometric forms satisfying the boundary conditions. The value of critical buckling loads and the load – deflection curves of the shells are obtained by using the Bubnov – Galerkin method. In numerical results; effect of geometrical parameters, elastic foundations, temperature increment, compressive load and oblique stiffeners on the critical buckling loads and post-buckling load – deflection curves of the shells are studied specifically. The obtained results are also compared with others from literature to validate the accuracy of the present method and approach.
Quoc Quan Tran
Huy Cuong Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-06-03T04:19:45Z
2019-06-03T04:19:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3451
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3451
2019-06-03T04:19:45Z
Characterization of performance parameters of organic solar cells with a buffer ZnO layer
By embedding a thin ZnO layer sandwiched between the hole transport and photoactive layers, organic solar cells (OSC) based on poly(3-hexylthiophene) (P3HT) were prepared by spincoating. UV-Vis spectra of the composite films showed that ZnO exhibited a suitable buffer layer that could block holes movement throughout the heterojunction of ITO/ZnO. The enhancement in the fill factor (FF) of the buffer-OSC (BOSC) is attributed to the presence of nanoheterojunctions of ZnO/PCBM and ZnO/ITO. For the normal temperature, the increase of the open-circuit potential and short-circuit current resulted in an overall increase of the energy conversion efficiency. Comparing to OSCs without buffer layer (WOSC), the laminar structure of ITO/ZnO/P3HT/PCBM/Li/Al cells possess a much larger photovoltaic energy conversion efficiency, namely 2.12% (for BOSC) compared to 1.75% (for WOSC).
Nang Dinh Nguyen
dinhnn@vnu.edu.vn
Hyung-Kook Kim
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
2019-06-03T04:15:37Z
2019-06-03T04:15:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3442
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3442
2019-06-03T04:15:37Z
Flexible deployment of component-based distributed applications on the Cloud and beyond
In an effort to minimize operational expenses and supply users with more scalable services, distributed applications are actually going towards the Cloud. These applications, sent out over multiple environments and machines, are composed by inter-connecting independently developed services and components. The implementation of such programs on the Cloud is difficult and generally carried out either by hand or perhaps by composing personalized scripts. This is extremely error prone plus it has been found that misconfiguration may be the root of huge mistakes. We introduce AutoBot, a flexible platform for modeling, installing and (re)configuring complex distributed cloud-based applications which evolve dynamically in time. AutoBot includes three modules: A simple and new model describing the configuration properties and interdependencies of components; a dynamic protocol for the deployment and configuration ensuring appropriate resolution of these interdependencies; a runtime system that guarantee the proper configuration of the program on many virtual machines and, if necessary, the reconfiguration of the deployed system. This reduces the manual application deployment process that is monotonous and prone to errors. Some validation experiments were conducted on AutoBot in order to ensure that the proposed system works as expected. We also discuss the opportunity of reusing the platform in the transition of applications from Cloud to Fog computing.
Manh Linh Pham
linhmp@vnu.edu.vn
Truong Thang Nguyen
ntthang@ioit.ac.vn
2019-06-03T04:14:06Z
2019-06-03T04:14:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3437
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3437
2019-06-03T04:14:06Z
UFBoot2: Improving the Ultrafast Bootstrap Approximation
Abstract
The standard bootstrap (SBS), despite being computationally intensive, is widely used in maximum likelihood phylogenetic analyses. We recently proposed the ultrafast bootstrap approximation (UFBoot) to reduce computing time while achieving more unbiased branch supports than SBS under mild model violations. UFBoot has been steadily adopted as an efficient alternative to SBS and other bootstrap approaches. Here, we present UFBoot2, which substantially accelerates UFBoot and reduces the risk of overestimating branch supports due to polytomies or severe model violations. Additionally, UFBoot2 provides suitable bootstrap resampling strategies for phylogenomic data. UFBoot2 is 778 times (median) faster than SBS and 8.4 times (median) faster than RAxML rapid bootstrap on tested data sets. UFBoot2 is implemented in the IQ-TREE software package version 1.6 and freely available at http://www.iqtree.org.
Thi Diep Hoang
diepht@vnu.edu.vn
Olga Chernomor
von Haeseler Arndt
Quang Minh Bui
Sy Vinh Le
vinhls@vnu.edu.vn
2019-06-03T04:09:45Z
2019-06-03T04:09:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3462
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3462
2019-06-03T04:09:45Z
Nonlinear buckling and post-buckling of functionally graded CNTs reinforced composite truncated conical shells subjected to axial load
This study deals with the nonlinear static analysis of functionally graded carbon nanotubes reinforced composite
(FG-CNTRC) truncated conical shells subjected to axial load based on the classical shell theory. Detailed studies for both
nonlinear buckling and post-buckling behavior of truncated conical shells. The truncated conical shells are reinforced by singlewalled carbon nanotubes which alter according to linear functions of the shell thickness. The nonlinear equations are solved by
both the Airy stress function and Galerkin method based on the classical shell theory. In numerical results, the influences of
various types of distribution and volume fractions of carbon nanotubes, geometrical parameters, elastic foundations on the
nonlinear buckling and post-buckling behavior of FG-CNTRC truncated conical shells are presented. The proposed results are
validated by comparing with other authors.
Quang Chan Do
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
Dinh Quang Vu
quangvd2510@gmail.com
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-06-03T04:07:26Z
2019-06-03T04:07:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3449
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3449
2019-06-03T04:07:26Z
Improving the Bag-of-Words model with Spatial Pyramid matching using data augmentation for fine-grained arbitrary-oriented ship classification
In this letter, we investigate fine-grained classification of arbitrary-oriented ships in very high resolution optical imagery using Bag of Word model with Spatial Pyramid (SP-BoW). Given that based on ‘spatial pyramid’ of the histogram of local features, the final feature vectors not only count the multiplicity of ‘words’ but also represent their spatial topology. We attempt to improve the performance of this model by introducing augmented data for training phase. Our aim is to make the dataset big enough to be able to capture holistic variation of ship orientation. Three data augmentation operations are used including random rotate by an angle of modulo 90°, random flip-left-right, and random flip-top-bottom. Through this procedure, our trained SP-BoW model is able to get better generalization. The proposed approach is validated on the High-Resolution Ship Collections 2016 (HRSC2016) ship dataset. The results indicate that training on augmented data can significantly improve the performance of SP-BoW. Beside, compared to other state-of-the-art convolutional neural network-based approaches, the approach proposed in this research has yielded competitive results and could make it a good baseline for evaluating more sophisticated CNN architecture in the future.
Viet Hung Luu
hunglv@fimo.edu.vn
Van Kiet Dinh
Nguyen Hoang Hoa Luong
Quang Hung Bui
hungbq@vnu.edu.vn
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
2019-06-03T03:58:45Z
2020-01-06T07:40:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3467
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3467
2019-06-03T03:58:45Z
Hierarchical Distributed Control for Global Network Integrity Preservation in Multirobot Systems
In this paper, we address a novel hierarchical distributed control (HDC) strategy for networked multirobot systems (MRSs). This strategy is developed on a geometric approach without requiring estimation of algebraic connectivity. It is originally based upon behavioral control, but upgraded by distributed node control with a mobility constraint for global network integrity preservation and distributed connectivity control with a local connectivity minimization strategy for network coverage expansion. Thanks to properties of HDC, a networked MRS is capable of achieving high performance with cooperative tasks. We have examined and evaluated our proposed method in both simulations with up to 100 simulated robots and real-world experiments with up to 14 real robots.
Duy Hung Pham
hungpd@vnu.edu.vn
Quang Vinh Tran
vinhtq@vnu.edu.vn
Trung Dung Ngo
dungnt@ieee.org
2019-06-03T03:55:48Z
2019-06-03T03:55:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3447
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3447
2019-06-03T03:55:48Z
A Dynamic-Clustering Backup Scheme for High-Availability Distributed File Sharing Systems
DHT routing algorithms can provide efficient mechanisms for resource placement and lookup for distributed file sharing systems. However, we must still deal with irregular and frequent join/leave of nodes and the problem of load unbalancing between nodes in DHT-based file sharing systems. This paper presents an efficient file backup scheme based on dynamic DHT key space clustering in order to guarantee data availability and support load balancing. The main idea of our method is to dynamically divide the DHT network into a number of clusters, each of which locally stores and maintains data chunks of data files to guarantee the data availability of user data files even when node churn occurs. Further, high-capacity nodes in clusters are selected as backup nodes to achieve adequate load balancing. Simulation results demonstrate the superior effectiveness of the proposed scheme over other file replication schemes.
Hoai Son Nguyen
sonnh@vnu.edu.vn
Dinh Nghia Nguyen
nghiahvan@gmail.com
Shinji Sugawara
2019-06-03T03:50:39Z
2019-06-03T03:50:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3422
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3422
2019-06-03T03:50:39Z
Geometrically nonlinear dynamic response of eccentrically stiffened circular cylindrical shells with negative Poisson’s ratio in auxetic honeycombs core layer
Hong Cong Pham
Thanh Long Pham
Van Nhat Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-06-03T03:48:27Z
2019-06-03T03:48:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3421
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3421
2019-06-03T03:48:27Z
Bending and thermal buckling of unsymmetric functionally graded sandwich beams in high temperature environment based on a new third order shear deformation theory
Thanh Trung Tran
Hoang Nam Nguyen
Van Thom Do
Van Minh Phung
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-06-03T03:47:07Z
2019-06-03T03:47:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3420
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3420
2019-06-03T03:47:07Z
Nonlinear buckling and post-buckling of FGM toroidal shell segment under torsional load in thermal environment within Reddy’s third-order shear deformation shell theory
Minh Vuong Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2019-02-18T03:42:27Z
2019-02-18T03:42:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3435
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3435
2019-02-18T03:42:27Z
Maximizing misinformation restriction within time and budget constraints
Online social networks have become popular media worldwide. However,they also allow rapid dissemination of misinformation causing negative impacts tousers. With a source of misinformation, the longer the misinformation spreads, thegreater the number of affected users will be. Therefore, it is necessary to preventthe spread of misinformation in a specific time period. In this paper, we proposemaximizing misinformation restriction (MMR) problem with the purpose of finding aset of nodes whose removal from a social network maximizes the influence reductionfrom the source of misinformation within time and budget constraints. We demonstratethat theMMRproblem is NP-hard even in the case where the network is a rooted tree
Canh V. Pham
maicanhki@gmail.com
My T. Thai
Hieu V. Duong
Bao Q. Bui
Xuan Huan Hoang
huanhx@vnu.edu.vn
2019-01-07T03:14:44Z
2019-01-07T03:14:44Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3399
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3399
2019-01-07T03:14:44Z
Nanometer-scale local probing of X-ray absorption spectra of Co/Pt multilayer film
We report our local X-ray absorption spectra (XAS) measurement mapping for a Co/Pt multilayer using
scanning transmission microscopy with 25-nm spatial resolution and 0.1-eV spectral resolution. We have
systematically analyzed the two-dimensional XAS intensity variation over the corresponding magnetic domain
patterns, revealing a XAS profile across the magnetic domain wall as well as the simultaneous high-throughput
measurement of local XAS spectra.
Duy Truong Quach
Duc Thang Pham
pdthang@vnu.edu.vn
Djati Hankodo
Je-Ho Shim
Dong Eon Kim
Kyung-Min Lee
Jong-Ryul Jeong
Namdong Kim
Hyun-Joon Shin
Dong-Hyun Kim
2019-01-07T03:12:06Z
2019-01-07T03:12:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3400
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3400
2019-01-07T03:12:06Z
Alternative approaches used to assess structural changes of natural zircon caused by heat treatment
Thi Thu Huong LE
ltthuongvnu@yahoo.com
Thi Minh Thuyet Nguyen
The Long Phan
Ngo Tran
Ngoc Toan Dang
Duc Thang Pham
pdthang@vnu.edu.vn
The Huy Bui
2019-01-07T02:43:25Z
2019-01-07T02:43:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3401
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3401
2019-01-07T02:43:25Z
Crystal Structure and Magnetic Properties of La0.8R0.2(Fe0.88Si0.12)13 (R = Sm and Tb) Compounds
Van Hiep Vuong
Khac Thuan Nguyen
Thi Kim Anh Do
Nam Nhat Hoang
nhathn@vnu.edu.vn
2019-01-07T02:40:13Z
2019-01-07T02:40:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3402
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3402
2019-01-07T02:40:13Z
Low Field Magnetocaloric Effect in Bulk and Ribbon Alloy La(Fe0.88Si0.12)13
Van Hiep Vuong
Thi Kim Anh Do
Duy Thien Nguyen
Quang Hoa Nguyen
Nam Nhat Hoang
nhathn@vnu.edu.vn
2019-01-07T02:00:01Z
2019-01-07T02:00:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3398
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3398
2019-01-07T02:00:01Z
Minor hysteresis patterns with a rounded/sharpened reversing behavior in ferromagnetic multilayer
Hysteresis of ferromagnetic system exhibits a fundamental stimulus-response behavior, thereby
casting all the important macromagnetic system parameters such as coercivity, nucleation feld,
saturation magnetization, and hysteresis loss. Recently, increasing attention has been paid to
exploration of relatively less understood minor loop behavior, since faster operation of magnetic
devices is inevitably accompanied by minor hysteresis behavior from cycling among unsaturated
ferromagnetic states. Here, we report our microscopic investigation of unusual minor hysteresis loop
behavior, represented by rounded or sharpened response of minor hysteresis loop of (CoFeB/Pd)4
multilayer flm. It is observed that rounded and sharpened response in the minor hysteresis response
could be manifested under proper conditions. The minor loop behavior has been systematically
investigated by direct microscopic magnetic domain observation using magneto-optical Kerr
microscopy. The rounded response of magnetization at the reversing external feld along the minor
hysteresis curve, so far neglected or considered as one of ‘unusual’ behaviors, has been found to be
elaborately controllable by tuning the reversing feld strength and the feld sweep rate for multilayers
with low repeat numbers. Variable roundedness of the minor hysteresis loop is understandable based on
the analysis of magnetic domain dynamics such as domain nucleation and the domain wall velocity.
Duy Truong Quach
Duc Thang Pham
pdthang@vnu.edu.vn
Duc The Ngo
The Long Phan
Seung-Young Park
Sang-Hyuk Lee
Dong-Hyun Kim
2018-12-25T11:03:22Z
2018-12-25T11:03:22Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3375
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3375
2018-12-25T11:03:22Z
GuruWS: A Hybrid Platform for Detecting Malicious Web Shells and Web Application Vulnerabilities
Web application/service is now omnipresent but its security risks, such as malware and vulnerabilities, are indeed underestimated. In this paper, we propose a protective, extensible and hybrid platform, named GuruWS, for automatically detecting both web application vulnerabilities and malicious web shells. Based on the original PHP vulnerability scanner THAPS, we propose E-THAPS which implements a novel detection mechanism, an improved SQL injection, Cross-site Scripting and vulnerability detection capabilities. For malicious web shell detection, taint analysis and pattern matching methods are chosen to be implemented in GuruWS. A number of extensive experiments are carried out to prove the outstanding performance of our proposed platform in comparison with several existing solutions in detecting either web application vulnerabilities or malicious web shells.
Van Giap Le
giaplvk57@gmail.com
Huu Tung Nguyen
htung.nht@gmail.com
Duy Phuc Pham
duyphuc@vnu.edu.vn
Ngoc Hoa Nguyen
hoa.nguyen@vnu.edu.vn
2018-12-20T06:04:47Z
2018-12-20T06:04:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3289
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3289
2018-12-20T06:04:47Z
View Synthesis Method for 3D Video Coding Based on Temporal and Inter View
Tung Long Vuong
Dinh Minh Le
minhld_57@vnu.edu.vn
Van Xiem Hoang
xiemhoang@vnu.edu.vn
Trieu Duong Dinh
duongdt@vnu.edu.vn
Huu Tien Vu
Thanh Ha Le
ltha@vnu.edu.vn
2018-12-19T05:07:30Z
2018-12-19T09:21:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3324
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3324
2018-12-19T05:07:30Z
Influences of Morphology of Window Layer on the Characteristics of PbS Quantum Dot Solar Cells
Background: In QDs-based solar cell devices, the PbS QDs layer was mainly focused
to optimize. The ZnO electron acceptor layer attracts less attention whereas it shows the key
roles in extracting and transporting charge carriers in heterojunction. The utilization of 1-D ZnO
structures has been demonstrated to be large interface areas and good carrier pathways for efficient carrier collection. However, the influences of the morphology of metal oxide nanostructures on the photovoltaic performance of QD-based solar cells have been few in-depth reports.
Objective: In this work, ZnO NRs/PbS QD based solar cells were fabricated. The influences of
the ZnO NRs array structures on characteristics of ZnO NRs/PbS QD based solar cells were investigated.
Method: ZnO NRs/PbS QD based solar cells were fabricated via spin coating method. XRD,
SEM, UV-VIS-NIR spectrophotometer, I-V and EQE measurement systems were utilized to investigate the fabricated samples.
Results: We have found optimum combinations of the linked parameters of ZnO NRs, their
length of (230 ± 5) nm and density of (1.50 ± 5)x1010 # of rods.cm-2, that exhibit maximum efficiency of ∼2.5% for the ZnO NR/PbS QDs based solar cell.
Conclusion: The influences the ZnO NRs structures on the solar cell characteristics, including
the absorption, external quantum efficiency, and current density-voltage curves, were investigated. There seems to be an optimum between NR length and their density for resulting in maximum efficiency. This could be due the interplay of solar flux absorption and junction area controlled by these two parameters of ZnO NR morphology.
Thu Ha Nguyen
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
2018-12-17T09:42:49Z
2018-12-17T09:42:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3322
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3322
2018-12-17T09:42:49Z
Mechanism of the photocatalytic activity of p-Si(100)/n-ZnO nanorods heterojunction
A heterojunction of p-Si(100)/n-ZnO nanorods was fabricated by a simple hydrothermal method. The
photocatalytic activity of this heterojunction was examined by degradation of Rhodamine B (RhB) under
UV light irradiation. The results indicated that the p-Si(100)/n-ZnO nanorods heterojunction exhibits
higher photocatalytic activity compared to that of a glass/n-ZnO nanorods. The inner electric field created
by the space charge region of heterojunction will oppose the recombination of photogenerated electrons
and holes. Furthermore, this heterojunction serves as a convenient recyclable and effective photocatalyst.
The photodecomposition rate of RhB after 5 cycles is negligible change in an experiment using this
heterojunction.
Thi Hoa Nguyen
Van Cuong Vuong
Dinh Lam Nguyen
lamnd2005@vnu.edu.vn
2018-12-17T04:09:18Z
2018-12-17T19:19:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3317
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3317
2018-12-17T04:09:18Z
Low-complexity adaptive algorithms for robust subspace tracking
This paper introduces new, low-complexity, adaptive
algorithms for robust subspace tracking in certain adverse scenarios of noisy data. First, an adequate weighted least-squares criterion is considered for the design of a robust subspace tracker that is most efficient in the burst noise case. Second, by using data pre-processing and robust statistics estimate, we introduce a second method that is shown to be the most efficient for subspace tracking in the case of impulsive noise (e.g. α-stable noise). Finally, a ‘detect-and-skip’ approach is adopted where the corrupted measurements are detected and treated as ‘missing’ data. The resulting algorithm is particularly effective in the case where the data is affected by sparse ‘outliers’. All these approaches were analyzed and their convergence properties
were investigated. Moreover, the proposed subspace tracking algorithms were compared by simulated experiments to some state-of-the-art methods, in different noise/outliers contexts.
Linh Trung Nguyen
linhtrung@vnu.edu.vn
Viet Dung Nguyen
nvdung@vnu.edu.vn
Messaoud Thameri
m_thameri@hotmail.com
Minh Chinh Truong
tmchinh@gmail.com
Karim Abed-Meraim
karim.abed-meraim@univ-orleans.fr
2018-12-17T03:07:14Z
2018-12-17T03:07:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3310
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3310
2018-12-17T03:07:14Z
Fast phylogenetic maximum parsimony tree inference and bootstrap approximation
Background: The nonparametric bootstrap is widely used to measure the branch support of phylogenetic trees. However, bootstrapping is computationally expensive and remains a bottleneck in phylogenetic analyses. Recently, an ultrafast bootstrap approximation (UFBoot) approach was proposed for maximum likelihood analyses. However, such an approach is still missing for maximum parsimony.
Results: To close this gap we present MPBoot, an adaptation and extension of UFBoot to compute branch supports under the maximum parsimony principle. MPBoot works for both uniform and non-uniform cost matrices. Our analyses on biological DNA and protein showed that under uniform cost matrices, MPBoot runs on average 4.7 (DNA) to 7 times (protein data) (range: 1.2–20.7) faster than the standard parsimony bootstrap implemented in PAUP*; but 1.6 (DNA) to 4.1 times (protein data) slower than the standard bootstrap with a fast search routine in TNT (fast-TNT). However, for non-uniform cost matrices MPBoot is 5 (DNA) to 13 times (protein data) (range:0.3–63. 9) faster than fast-TNT. We note that MPBoot achieves better scores more frequently than PAUP* and fast-TNT. However, this effect is less pronounced if an intensive but slower search in TNT is invoked. Moreover, experiments on large-scale simulated data show that while both PAUP* and TNT bootstrap estimates are too conservative, MPBoot bootstrap estimates appear more unbiased.
Conclusions: MPBoot provides an efficient alternative to the standard maximum parsimony bootstrap procedure. It shows favorable performance in terms of run time, the capability of finding a maximum parsimony tree, and high bootstrap accuracy on simulated as well as empirical data sets. MPBoot is easy-to-use, open-source and available at http://www.cibiv.at/software/mpboot.
Thi Diep Hoang
diepht@vnu.edu.vn
Sy Vinh Le
vinhls@vnu.edu.vn
Flouri Tomas
Stamatakis Alexandros
von Haeseler Arndt
Bui Minh
2018-12-17T02:48:33Z
2018-12-17T02:48:33Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3275
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3275
2018-12-17T02:48:33Z
Accurate prediction of optimal cancer drug therapies from molecular profiles by a machine-learning algorithm.
John F McDonald
Roman Mezencev
Quoc Long Tran
tqlong@vnu.edu.vn
Benedict Benigno
Ioana Bonta
Giuseppe Del Priore
2018-12-17T02:47:55Z
2018-12-17T02:47:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3273
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3273
2018-12-17T02:47:55Z
An empirical comparison of nine pattern classifiers
Quoc Long Tran
tqlong@vnu.edu.vn
K-A Toh
Dipti Srinivasan
K-L Wong
Shaun Qiu-Cen Low
2018-12-17T02:47:00Z
2018-12-17T02:47:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3271
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3271
2018-12-17T02:47:00Z
Feasibility of detecting prostate cancer by ultraperformance liquid chromatography--mass spectrometry serum metabolomics
Xiaoling Zang
Christina M Jones
Quoc Long Tran
tqlong@vnu.edu.vn
Maria Eugenia Monge
Manshui Zhou
L DeEtte Walker
Roman Mezencev
Alexander Gray
John F McDonald
Facundo M Fernandez
2018-12-14T02:38:08Z
2018-12-14T02:38:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3267
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3267
2018-12-14T02:38:08Z
Benchmarking a reduced multivariate polynomial pattern classifier
Kar-Ann Toh
Quoc Long Tran
tqlong@vnu.edu.vn
Dipti Srinivasan
2018-11-26T06:01:00Z
2018-11-26T06:01:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3136
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3136
2018-11-26T06:01:00Z
Vibration and nonlinear dynamic response of eccentrically stiffened functionally graded composite truncated conical shells surrounded by an elastic medium in thermal environments
A semi-analytical approach eccentrically stiffened functionally graded (ES-FGM) truncated conical shells surrounded by an elastic medium in thermal environments is presented. Based on the classical thin shell theory with the geometrical nonlinearity in von Karman Donnell sense, the smeared stiffeners technique and Galerkin method, this paper deals with vibration and nonlinear dynamic problem. The truncated conical shells are reinforced by ring stiffeners made of full metal or full ceramic depending on situation of stiffeners at the metal-rich or ceramic-rich side of the shell respectively. In addition, the study is not only assumed that the material properties depend on environment temperature variation, but also considered the thermal stresses in the stiffeners. Numerical results are given to evaluate effects of inhomogeneous, dimensional parameters, outside stiffeners, temperatures and elastic foundations to vibration and nonlinear dynamic response of structures.
Quang Chan Do
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-11-20T09:05:19Z
2018-11-20T09:05:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3164
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3164
2018-11-20T09:05:19Z
Si-doping effect on solution-processed In-O thin-film transistors
In this work, silicon-doped indium oxide thin-film transistors (TFTs) have been fabricated for the first
time by a solution processing method. By varying the Si concentration in the In2O3–SiO2 binary oxide
structure up to 15 at%, the thicknesses, densities, and crystallinity of the resulting In–Si–O(ISO) thin
films were investigated by x-ray reflectivity (XRR) and x-ray diffraction techniques, while the
produced TFTs were characterized by a conventional three-probe method. The results of XRR analysis
revealed that the increase in the content of Si dopant increased the thickness of the produced film and
reduced its density, and that all the Si-doped ISO thin films contained only a single amorphous phase
even after annealing at temperatures as high as 800 °C. The manufactured ISO TFTs exhibited a
reduction in the absolute value of threshold voltage VT close to 0 Vand low current in the off-state, as
compared to those of the non-doped indium oxide films, due to the reduced number of oxygen
defects, which was consistent with the behavior of ISO TFTs fabricated by a sputtering method. The
ISO TFT with a Si content of 3 at% annealed at 400 °Cdemonstrated the smallest subthreshold swing
of 0.5 V/dec, VT of−5 V, mobility of 0.21 cm2 V−1s−1, and on/off current ratio of about 2×107.
Ha Hoang
Tatsuki Hori
To-oru Yasuda
Takio Kizu
Kazuhito Tsukagoshi
Toshihide Nabatame
Nguyen Quoc Trinh Bui
Akihiko Fujiwara
2018-11-15T09:20:28Z
2018-11-15T09:20:28Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3148
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3148
2018-11-15T09:20:28Z
Nano ZrO2 Synthesis by Extraction of Zr(IV) from ZrO(NO3)2 by PC88A, and Determination of Extraction Impurities by ICP-MS
High purity Zirconium (Zr) materials are essential in many components of nuclear reactors, especially fuel cladding tubes. Due to the matrix influence, determination of impurities in the Zr materials requires separation from the Zr matrix. Among extraction methods, solvent extraction is common and suitable for large-scale production. In this study, extraction capability of Zr(IV) by 2-ethylhexyl phosphonic acid mono 2-ethylhexyl ester (PC88A) was examined by FT-IR and UV of ZrO(NO3)2 salt, PC88A-toluene solvent, and Zr-PC88A-toluene complex. ZrO2 (obtained from Institute for Technology of Radioactive and Rare Elements—ITRRE), after being separated from the Zr matrix, was determined for impurities using internal standard (indium, In) by 50% of PC88A dissolved in toluene. Separation of impurities from the Zr matrix underwent two stages. First, one cycle of extraction of the Zr matrix and impurities in 3 M HNO3 using 50% PC88A/toluene was conducted. Second, impurities were scrubbed by 4 M HNO3 in two cycles. Results revealed that approximately 74% of Zr(IV) was separated to the organic phase and 26% remained in the aqueous phase. Determination of impurities after separation from the Zr matrix by ICP-MS using internal standard in revealed that the recovery of impurities achieved 95–100%. With the mentioned amount of Zr, the effect of the Zr matrix on the determination of elements by ICP-MS is negligible. Levels of impurities have relative standard deviations (RSD) of less than 6.9% and recovery of 88.6–98.8%. Therefore, the determination of impurities has high reliability and accuracy. The back-extraction of Zr(IV) in organic phase by 1 M H2SO4 has stripped about 99.5% of the Zr matrix back to the aqueous phase. Following this, NH3 was added to the solution containing Zr after back-extraction to form Zr(OH)4 which was then desiccated to produce ZrO2. X-ray Diffraction (XRD), Scanning and Transmission Electron Microscopy (SEM and TEM) images showed that the new ZrO2 product has spherical nanostructure with diameters of less than 25 nm, which is suitable for applications for the treatment of colorants, metal ions in wastewater sources and manufacture of anti-corrosion steel. In addition, the energy dispersive X-ray (EDX) of the new ZrO2 product showed that it has high purity.
Manh Nhuong Chu
chumanhnhuong@dhsptn.edu.vn
T. H. Lan Nguyen
nguyenhienlan@dhsptn.edu.vn
Xuan Truong Mai
maixuantruong@dhsptn.edu.vn
Van Thuan Doan
doanthuanms@gmail.com
Long Giang Bach
blgiang@ntt.edu.vn
Duy Chinh Nguyen
ndchinh@ntt.edu.vn
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
2018-11-15T09:20:18Z
2018-11-15T09:20:18Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3146
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3146
2018-11-15T09:20:18Z
Contributions of poly(3-hexylthiophene) nanowires to alteration of vertical inhomogeneity of bulk-heterojunction active layers and improvements of light-harvesting and power-conversion efficiency of organic solar cells
We report a 47.1% efficiency improvement resulting from the combination of device-architecture modification and inclusion of poly(3-hexylthiophene-2,5-diyl) nanowires (P3HT NWs) in bulk heterojunction (BHJ) active layers (ALs). Modelling of ellipsometry spectra shows substantial changes in inhomogeneity and optical constants of BHJ ALs with P3HT-NW inclusion. Furthermore, finite-difference time-domain simulation results based on actual device structures with inhomogeneous AL models indicate that enhanced light harvesting is a main contributing factor to efficiency improvement. On the contrary, P3HT-NW inclusion has no significant effect on charge carrier collection, other than suppressing occurrence of cul-de-sac in hole-transport pathways and unfavourable indene-C60 bisadduct domains near top anodes.
Sung-yoon Joe
Shinyoung Ryu
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Jong Hyuk Yim
Huiseong Jeong
Na Young Ha
Y.H. Ahn
Ji-Yong Park
Soonil Lee
soonil@ajou.ac.kr
2018-11-15T09:20:10Z
2018-11-15T09:20:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3145
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3145
2018-11-15T09:20:10Z
True nature of active layers in organic solar cells fabricated by sequential casting of donor and acceptor layers
The operation characteristics of nominal bilayer (BL) organic solar cells (OSCs), the active layers (ALs) of which consisted of sequentially casted bottom P3HT donor and top ICBA acceptor layers, resembled those of OSCs with bulk heterojunction (BHJ) ALs. Optical analysis and device simulations showed that such resemblance can be attributed to a similarity in the micromorphology of ALs; as‐deposited BL‐type ALs transformed spontaneously into BHJ‐type ALs. The inclusion of P3HT nanowires (NWs) in the donor layers resulted in different AL micromorphology and consequently a larger power conversion efficiency. Separate assessment of the exciton generation and charge–carrier transport and/or extraction showed that the contribution of P3HT NWs was more prominent in optical effects.
Jong Hyuk Yim
Sung‐yoon Joe
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Shin Young Ryu
Na Young Ha
Y. H. Ahn
Ji‐Yong Park
Soonil Lee
soonil@ajou.ac.kr
2018-11-15T09:20:01Z
2018-11-15T09:20:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3144
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3144
2018-11-15T09:20:01Z
Hole‐extraction layer dependence of defect formation and operation of planar CH3NH3PbI3 perovskite solar cells
Three planar CH3NH3PbI3 (MAPbI3) solar cells having the same structure except a hole‐extraction layer (HEL) showed distinctive difference in operation characteristics. Analysis of frequency‐dependent capacitance and dielectric‐loss spectra of the three MAPbI3 devices showed two types of recombination‐loss channels with different time constants that we attributed respectively to interface and bulk defects. Discrepancy in defect formation among the three devices with a HEL of PEDOT:PSS, NiOx, or Cu‐doped NiOx was not surprising because grain‐size distribution and crystalline quality of MAPbI3 can be affected by surface energy and morphology of underlying HELs. We were able to quantify interface and bulk defects in these MAPbI3solar cells based on systematic and simultaneous simulations of capacitance and dielectric‐loss spectra, and current–voltage characteristics by using the device simulator SCAPS.
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Sung‐yoon Joe
Na Young Ha
Hui Joon Park
Ji‐Yong Park
Y. H. Ahn
Soonil Lee
soonil@ajou.ac.kr
2018-11-15T09:19:47Z
2018-11-15T09:19:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3019
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3019
2018-11-15T09:19:47Z
Solution-Processible Crystalline NiO Nanoparticles for High-Performance Planar Perovskite Photovoltaic Cells
In this work, we report on solution-based p-i-n-type planar-structured CH3NH3PbI3 perovskite
photovoltaic (PV) cells, in which pre-crystallized NiO nanoparticles (NPs) without post-treatment are
used to form a hole transport layer (HTL). X-ray diffraction and high-resolution transmission electron
microscopy showed the crystallinity of the NPs, and atomic force microscopy and scanning electron
microscopy confirmed the uniform surfaces of the resultant NiO thin film and the subsequent perovskite
photoactive layer. Compared to the conventional poly(3,4-ethylene dioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) HTL, the NiO HTL had excellent energy-level alignment with that of CH3NH3PbI3
and improved electron-blocking capability, as analyzed by photoelectron spectroscopy and diode
modeling, resulting in Voc ~0.13 V higher than conventional PEDOT:PSS-based devices. Consequently, a
power conversion efficiency (PCE) of 15.4% with a high fill factor (FF, 0.74), short-circuit current density
(Jsc, 20.2 mA·cm−2), and open circuit voltage (Voc, 1.04 V) having negligible hysteresis and superior air
stability has been achieved.
Uisik Kwon
Bong-Gi Kim
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Jong-Hyeon Park
Na Young Ha
Seung-Joo Kim
Seung Hwan Ko
Soonil Lee
soonil@ajou.ac.kr
Daeho Lee
dhl@gachon.ac.kr
Hui Joon Park
huijoon@ajou.ac.kr
2018-11-15T09:19:37Z
2018-11-15T09:19:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3147
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3147
2018-11-15T09:19:37Z
Electrical properties of ion gels based on PVDF-HFP applicable as gate stacks for flexible devices
Electrical characteristics of ion gels prepared by loading different amounts of 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([EMIM][TFSI]) in Poly(vinylidene fluoride-co-hexafluoropropylene) (PVDF-HFP) are investigated and compared with those of ion liquid, [EMIM][TFSI] for possible application as a gate stack for flexible electronic devices. Capacitance and impedance as a function of frequency are measured, which can be well accounted for by a simple circuit model identifying the local device components. The operation of a flexible field effect transistor based on graphene and the ion gel as a top gate stack is also demonstrated.
Kwanbyung Chae
Duc Cuong Nguyen
cuongnd@vnu.edu.vn
Shinyoung Ryu
Dong-Il Yeom
Soonil Lee
Ji-Yong Park
jiyong@ajou.ac.kr
2018-11-08T06:55:42Z
2018-11-08T06:55:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3140
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3140
2018-11-08T06:55:42Z
On Domain Driven Design Using Annotation-Based Domain Specific Language
The aim of object-oriented domain-driven design (DDD) is to iteratively develop software around a realistic domain model. Recent work in DDD use an annotation-based extension of object-oriented programming language to build the domain model. This model becomes the basis for a ubiquitous language and is used as input to generate software. However, the annotation-based extensions of these work do not adequately address the primitive and essential structural and behavioural modelling requirements of practical software. Further, they do not precisely characterise the software that is generated from the domain model. In this paper, we propose a DSL-based DDD method to address these limitations. We make four contributions: (1) An annotation-based domain-specific language (DSL) named DCSL, whose annotation extension expresses a set of essential structural constraints and the essential behaviour of a domain class. (2) A structural mapping between the state and behaviour spaces of a domain class. This mapping enables a technique for generating the behavioural specification. (3) A technique that uses DCSL to support behavioural modelling with UML activity diagram. (4) A 4-property characterisation of the software generated from the domain model. We demonstrate our method with a Java software tool and evaluate DCSL in the context of DDD.
Minh Duc Le
Duc Hanh Dang
hanhdd@vnu.edu.vn
Viet Ha Nguyen
hanv@vnu.edu.vn
2018-11-04T09:29:21Z
2018-11-04T09:29:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3085
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3085
2018-11-04T09:29:21Z
View synthesis method for 3D video coding based on temporal and inter view correlation
Recently, in three-dimensional (3D) television, the temporal correlation between consecutive frames of the
intermediate view is used together with the inter-view correlation to improve the quality of the synthesised view. However, most temporal methods are based on the motion vector fields (MVFs) calculated by the optical flow or block-based motion estimation which has very high computational complexity. To alleviate this issue, the authors propose a temporal-disparity-based view
synthesis (TDVS) method, which uses the MVFs extracted from the bitstreams of side views and motion warping technique to create the temporal correlation between views in the intermediate position. Then a motion compensation technique is used to create a temporal-based view. Finally, the temporal-based view is fused with a disparity-based view which is generated by a traditional depth image-based rendering technique to create the final synthesised view. The fusion of these views is performed based on the side information which is determined and encoded at the sender-side of the 3D video system using a dynamic programming algorithm and rate-distortion optimisation scheme. Experimental results show that the proposed method can
achieve the synthesised view with appreciable improvements in comparison with the view synthesis reference software 1D fast (VSRS-1D Fast) for several test sequences.
Long Vuong Tung
longvt94@gmail.com
Minh Le Dinh
minhle2994@gmail.com
Xiem Hoang Van
xiemhoang@vnu.edu.vn
Trieu Duong Dinh
duongdt@vnu.edu.vn
Tien Vu Huu
lthavnu@gmail.com
Ha Le thanh
lthavnu@gmail.com
2018-10-29T04:29:21Z
2018-10-29T04:29:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3128
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3128
2018-10-29T04:29:21Z
Analysis of air pollution over Hanoi, Vietnam using multi-satellite and MERRA reanalysis datasets
Air pollution is one of the major environmental concerns in Vietnam. In this study, we assess the current status of air pollution over Hanoi, Vietnam using multiple different satellite datasets and weather information, and assess the potential to capture rice residue burning emissions with satellite data in a cloud-covered region. We used a timeseries of Ozone Monitoring Instrument (OMI) Ultraviolet Aerosol Index (UVAI) satellite data to characterize absorbing aerosols related to biomass burning. We also tested a timeseries of 3-hourly MERRA-2 reanalysis Black Carbon (BC) concentration data for 5 years from 2012–2016 and explored pollution trends over time. We then used MODIS active fires, and synoptic wind patterns to attribute variability in Hanoi pollution to different sources. Because Hanoi is within the Red River Delta where rice residue burning is prominent, we explored trends to see if the residue burning signal is evident in the UVAI or BC data. Further, as the region experiences monsoon-influenced rainfall patterns, we adjusted the BC data based on daily rainfall amounts. Results indicated forest biomass burning from Northwest Vietnam and Laos impacts Hanoi air quality during the peak UVAI months of March and April. Whereas, during local rice residue burning months of June and October, no increase in UVAI is observed, with slight BC increase in October only. During the peak BC months of December and January, wind patterns indicated pollutant transport from southern China megacity areas. Results also indicated severe pollution episodes during December 2013 and January 2014. We observed significantly higher BC concentrations during nighttime than daytime with peaks generally between 2130 and 0030 local time. Our results highlight the need for better air pollution monitoring systems to capture episodic pollution events and their surface-level impacts, such as rice residue burning in cloud-prone regions in general and Hanoi, Vietnam in particular.
Kristofer Lasko
Krishna Prasad Vadrevu
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
2018-10-09T09:52:28Z
2018-10-09T09:52:28Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3077
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3077
2018-10-09T09:52:28Z
New approach to investigate nonlinear dynamic response of sandwich auxetic double curves shallow shells using TSDT
Nonlinear dynamic behavior of double curved shallow shells with negative Poisson’s ratios in auxetic honeycombs on elastic foundations subjected blast, mechanical and damping loads is investigated in the present article. This study considers double curved shallow shells with auxetic core which have three layers in which the top and the bottom outer skins are isotropic aluminum materials; the central layer has honeycomb structure using the same aluminum material. Based on the analytical solution, Reddy’s third order shear deformation theory (TSDT) with the geometrical nonlinear in von Karman and Airy stress functions method, Galerkin method and the fourth-order Runge-Kutta method, the resulting equations are solved to obtain expressions for nonlinear motion equations. The effects of geometrical parameters, material properties, elastic foundations, imperfections, blast loads, mechanical and damping loads on the nonlinear dynamic analysis of double curved shallow shells with negative Poisson’s ratios in auxetic honeycombs are studied.
Hong Cong Pham
Duy Khanh Nguyen
Dinh Khoa Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-10-09T09:49:56Z
2018-10-09T09:49:56Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3080
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3080
2018-10-09T09:49:56Z
An approach to modeling and estimating power consumption of mobile applications
Hong Anh Le
lehonganh@humg.edu.vn
Anh Tu Bui
Ninh Thuan Truong
thuantn@vnu.edu.vn
2018-10-09T09:49:07Z
2018-10-09T09:49:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3081
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3081
2018-10-09T09:49:07Z
Preservation of Class invariants in refactoring UML models
Thi Huong Dao
huongdt.di12@vnu.edu.vn
Xuan Truong Nguyen
nguyenxuantruong@vov.org.vn
Ninh Thuan Truong
thuantn@vnu.edu.vn
2018-10-09T09:45:32Z
2018-10-09T09:45:32Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3082
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3082
2018-10-09T09:45:32Z
An analytical approach on nonlinear mechanical and thermal post-buckling of nanocomposite double-curved shallow shells reinforced by carbon nanotubes
This work presents the nonlinear mechanical and thermal post-buckling of nanocomposite double-curved shallow shells reinforced by single-walled carbon nanotubes resting on elastic foundations based on the higher order shear deformation theory with geometrical nonlinearity in von Karman–Donnell sense. The composite shells are made of various amorphous polymer matrices: poly(methyl methacrylate) (PMMA) and poly{(m-phenylenevinylene)-co-[(2,5-dioctoxy-p-phenylene) vinylene]} (PmPV). The governing equations are solved by the Galerkin method and Airy's stress function to achieve mechanical and thermal post-buckling behaviors of nanocomposite double-curved shallow shells. Various types of distributions of carbon nanotubes, both uniform distributions, and functionally graded distributions are examined. The material properties of nanocomposite double-curved shallow shells are assumed to be temperature dependent. Detailed parametric studies are carried out on the effect of various types of distribution and volume fractions of carbon nanotubes, temperature increments, elastic foundations, edge to radius and edge to thickness ratios on the nonlinear mechanical and thermal post-buckling of nanocomposite double-curved shallow shells reinforced by CNTs.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
Huy Cuong Nguyen
Van Sy Nguyen
Dinh Khoa Nguyen
2018-10-09T08:59:16Z
2018-10-09T08:59:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3075
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3075
2018-10-09T08:59:16Z
Validation simulation for free vibration and buckling of cracked Mindlin plates using phase-field method
This article focus on validation phase-field method for simulation of free vibration and buckling of crack plates. The formula is derived from using Reissner-Mindlin plate theory. Validation simulation is carried out by numerically investigating free vibration and buckling of cracked plate with taking the configuration, material property, crack location, and other relevant assumptions as the same with the comparing references. The article shows that phase-field approach can be used to estimate the critical buckling load and frequencies of vibration mode. The article also demonstrates the significant advanced of phase-field method for plates with complex crack geometries.
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Van Thom Do
Minh Phuc Phan
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-10-09T08:57:38Z
2018-10-09T08:57:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3074
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3074
2018-10-09T08:57:38Z
Nonlinear buckling and post-buckling of FGM shear deformable truncated conical shells reinforced by FGM stiffeners
Quang Chan Do
Do Long Vu
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-10-09T08:56:42Z
2018-10-09T08:56:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3073
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3073
2018-10-09T08:56:42Z
Nonlinear thermo-mechanical buckling and post-buckling response of porous FGM plates using Reddy’s HSDT
This work presents an analytical approach to investigate buckling and post-buckling behavior of FGM plate with porosities resting on elastic foundations and subjected to mechanical, thermal and thermomechanical loads. The formulations are based on Reddy's higher-order shear deformation plate theory taking into consideration Von Karman nonlinearity, initial geometrical imperfections, and Pasternak type of elastic foundations. By applying Galerkin method, closed-form relations of buckling loads and post-buckling equilibrium paths for simply supported plates are determined. Numerical results are carried out to show the effects of porosity distribution characteristics (Porosity-I and Porosity-II), geometrical parameters, material properties and elastic foundations on the mechanical, thermal and thermomechanical buckling loads and post-buckling resistance capacity of the porous FGM plates.
Hong Cong Pham
Minh Chien Trinh
Dinh Khoa Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-10-09T08:54:17Z
2018-10-09T08:54:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3070
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3070
2018-10-09T08:54:17Z
Nonlinear dynamic analysis and vibration of eccentrically stiffened S-FGM elliptical cylindrical shells surrounded on elastic foundations in thermal environments
Elliptical cylindrical shell is one of shells with special shape. Up to date, there is no publication on vibration and dynamic of functionally graded elliptical cylindrical shells. Therefore, the purpose of the present study is to investigate the nonlinear dynamic response and vibration of imperfect eccentrically stiffness functionally graded elliptical cylindrical shells on elastic foundations using both the classical shell theory (CST) and Airy stress functions method with motion equations using Volmir's assumption. The material properties are assumed to be temperature - dependent and graded in the thickness direction according to a Sigmoid power law distribution (S-FGM). The S-FGM elliptical cylindrical shell with metal-ceramic-metal layers are reinforced by outside metal stiffeners. Both the S-FGM elliptical shell and metal stiffeners are assumed to be in thermal environment and both of them are deformed under temperature simultaneously. Two cases of thermal loading (uniform temperature rise and temperature variation through thickness) are considered. The nonlinear motion equations are solved by Galerkin method and Runge-Kutta method (nonlinear dynamic response, natural frequencies). The effects of geometrical parameters, material properties, elastic foundations Winkler and Pasternak, the nonlinear dynamic analysis and nonlinear vibration of the elliptical cylindrical shells are studied. The some obtained results are validated by comparing with those in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Nguyen Pham
nguyenpd@vnu.edu.vn
Dinh Khoa Nguyen
2018-10-09T08:52:59Z
2018-10-09T08:52:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3061
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3061
2018-10-09T08:52:59Z
A static buckling investigation of multi-cracked FGM plate based phase-field method coupling the new TSDT
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Duc Doan
Van Thom Do
Duc Truong Trinh
2018-10-09T08:49:41Z
2018-10-09T08:49:41Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3059
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3059
2018-10-09T08:49:41Z
Vibration and nonlinear dynamic response of eccentrically stiffened functionally graded composite truncated conical shells in thermal environments
Quang Chan Do
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-10-09T08:46:04Z
2018-10-09T08:46:04Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3065
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3065
2018-10-09T08:46:04Z
Geometrically nonlinear dynamic response and vibration of shear deformable eccentrically stiffened FGM cylindrical panels subjected to thermal, mechanical and blast loads
Based on the first order shear deformation shell theory, this paper presents an analysis of the nonlinear dynamic response and vibration of imperfect eccentrically stiffened functionally graded material (ES-FGM) cylindrical panels subjected to mechanical, thermal, and blast loads resting on elastic foundations. The material properties are assumed to be temperature-dependent and graded in the thickness direction according to simple power-law distribution in terms of the volume fractions of the constituents. Both functionally graded material cylindrical panels and stiffeners having temperature-dependent properties are deformed under temperature, simultaneously. Numerical results for the dynamic response of the imperfect ES-FGM cylindrical panels with two cases of boundary conditions are obtained by the Galerkin method and fourth-order Runge–Kutta method. The results show the effects of geometrical parameters, material properties, imperfections, mechanical and blast loads, temperature, elastic foundations and boundary conditions on the nonlinear dynamic response of the imperfect ES-FGM cylindrical panels. The obtained numerical results are validated by comparing with other results reported in the open literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Duc Tuan Ngo
Hong Cong Pham
Dinh Dat Ngo
Dinh Khoa Nguyen
2018-10-09T08:45:54Z
2018-10-09T08:45:54Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3063
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3063
2018-10-09T08:45:54Z
Nonlinear response and buckling analysis of eccentrically stiffened FGM toroidal shell segments in thermal environment
This paper presents an analytical approach to study nonlinear response and buckling analysis of FGM toroidal shell segments reinforced by FGM stiffeners surrounded by elastic foundations in thermal environment and under external pressure. The formulations are based on Reddy's third-order shear deformation shell theory (TSDT) with von Karman nonlinearity, Pasternak type elastic foundations and smeared stiffener technique. By applying Galerkin's method and using stress function, closed-form expressions for determining the static critical external pressure load and postbuckling load–deflection curves are determined. Finally, the influences of geometrical parameters, volume fraction index, elastic foundations, and the effectiveness of stiffeners on the stability of shells are considered.
Vuong Pham Minh
Duc Nguyen Dinh
2018-10-01T04:19:03Z
2018-10-01T04:19:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3066
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3066
2018-10-01T04:19:03Z
The stability of cracked rectangular plate with variable thickness using phase field method
This study focuses on the investigation of the stability in a rectangular FGM plate with central crack. The plate thickness is changed linearly following the length of the plate. Using the Reissner-Mindlin first order shear deformation theory (FSDT), phase field theory and finite element method (FEM), the stability of fracture of the plate is determined. In order to ensure the reliability of the study, the obtained numerical results in this paper are compared with results reported in other publications. The work also presents the analysis of critical buckling computation for plate that have variation in thickness, the length of the crack on plate as well as the inclined angle of the crack. The numerical results show that the crack length impacts significantly to the critical buckling values of the plate, whereas the impact of inclined angle is less.
Minh Phuc Pham
Van Thom Do
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-10-01T03:59:43Z
2018-10-01T03:59:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3064
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3064
2018-10-01T03:59:43Z
New approach to investigate the nonlinear dynamic response and vibration of a functionally graded multilayer graphene nanocomposite plate on a viscoelastic Pasternak medium in a thermal environment
This paper presents an analytical approach to investigate the nonlinear dynamic response and vibration of functionally graded multilayer nanocomposite plates reinforced with a low content of graphene platelets (GPLs) using first-order shear deformation theory and a stress function with full motion equations (not using Volmir’s assumptions). The weight fraction of GPL nanofillers is assumed to be constant in each individual GPL-reinforced composite (GPLRC). The modified Halpin–Tsai micromechanics model that takes into account the GPL geometry effect is adopted to estimate the effective Young’s modulus of the GPLRC layers. The plate is assumed to rest on a viscoelastic Pasternak medium and to be subjected to dynamic mechanical load in a thermal environment. Numerical results for the nonlinear dynamic response and vibration of functionally graded (FG) multilayer GPLRC plates are obtained by the Runge–Kutta method. The results show the influences of the GPL distribution pattern, weight fraction, geometry, foundation models, mechanical and temperature loads on the nonlinear dynamic response and vibration, natural frequencies and frequency–amplitude curves of FG multilayer GPLRC plates.
Hong Cong Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2018-09-21T04:20:50Z
2018-09-21T04:20:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3054
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3054
2018-09-21T04:20:50Z
Highly Accurate Step Counting at Various Walking States Using Low-Cost Inertial Measurement Unit Support Indoor Positioning System
Accurate step counting is essential for indoor positioning, health monitoring systems, and other indoor positioning services. There are several publications and commercial applications in step counting. Nevertheless, over-counting, under-counting, and false walking problems are still encountered in these methods. In this paper, we propose to develop a highly accurate step counting method to solve these limitations by proposing four features: Minimal peak distance, minimal peak prominence, dynamic thresholding, and vibration elimination, and these features are adaptive with the user’s states. Our proposed features are combined with periodicity and similarity features to solve false walking problem. The proposed method shows a significant improvement of 99.42% and 96.47% of the average of accuracy in free walking and false walking problems, respectively, on our datasets. Furthermore, our proposed method also achieves the average accuracy of 97.04% on public datasets and better accuracy in comparison with three commercial step counting applications: Pedometer and Weight Loss Coach installed on Lenovo P780, Health apps in iPhone 5s (iOS 10.3.3), and S-health in Samsung Galaxy S5 (Android 6.01).
Thanh Pham Van
phamvanthanh1209@gmail.com
Anh Nguyen Duc
anhnd@gmail.com
Dinh Dang Nhu
dangnhu@gmail.com
Hai Pham Hong
honghaipham.bk@gmail.com
An Tran Van
antv79@gmail.com
Kumbesan Sandrasegaran
kumbesan.sandrasegaran@uts.edu.au
Tan Tran Duc
tantd@vnu.edu.vn
2018-09-08T09:51:30Z
2018-09-08T09:51:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3046
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3046
2018-09-08T09:51:30Z
Quantification of non-rigid liver deformation in radiofrequency ablation interventions using image registration
Multi-modal image fusion for image guidance in minimally invasive liver interventions generally requires a registration of pre-operatively acquired images to interventional images of the patient. Whereas rigid registration approaches are fast and can be used in an interventional setting, the actual liver deformation may be non-rigid. The purpose of this paper is to assess the magnitude of non-rigid deformation of the liver between pre-operative and interventional CT images in case of tumor ablations, over the full liver and over parts of the liver that match the volumes typically imaged by a 3D ultrasound transducer. We acquired 3D abdominal CT scans of 38 patients that underwent radiofrequency ablation of liver tumors, pre-operative CT images as well as intra-operative CT images. To determine the magnitude of liver deformation due to pose changes and respiration, we non-rigidly registered the pre-operative CT scan to the intra-operative CT scan. By fitting this deformation to a rigid transformation in a region of interest and computing the residual displacements, the non-rigid deformation part can be quantified. We performed quantifications over the complete liver, as well as for two volumes of interest representative for sub-xiphoidal and inter-costal 3D ultrasound acquisitions. The results showed that a substantial amount of non-rigid deformation was found, and rotation of patient's pose and deep inhalation caused significant liver deformation. Hence we concluded that non-rigid motion correction in the interventions should be taken into account.
Ha Luu Manh
halm@vnu.edu.vn
Adriaan Moelker
a.moelker@erasmusmc.nl
Stefan Klein
s.klein@erasmusmc.nl
Wiro Niessen
w.niessen@erasmusmc.nl
Theo van Walsum
t.vanwalsum@erasmusmc.nl
2018-09-07T05:19:47Z
2018-09-07T05:19:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3050
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3050
2018-09-07T05:19:47Z
Complex Shear Modulus Estimation using Integration of LMS/AHI Algorithm
Elasticity and viscosity of tissues are two important
parameters that can be used to investigate the structure of tissues,especially detecting tumors. By using a force excitation, the shear wave speed is acquired to extract its amplitude and phase. This information is then used directly or indirectly to compute the Complex Shear Modulus (CSM consists of elasticity and viscosity). Among these methods, Algebraic Helmholtz Inversion (AHI) algorithm can be combined with the Finite Difference Time
Domain (FDTD) model to estimate CSM effectively. However, this algorithm is strongly affected by measured noise while acquiring the particle velocity. Thus, we proposed a LMS/AHI algorithm which can estimate correctly CSM. A simulation scenario is built to confirm the performance of the proposed LMS/AHI algorithm with average error of 3:14%.
Hai Luong Quang
luonghai@gmail.com
Cuong Nguyen Manh
cuongnm@gmail.com
Long Ton That
ttlong@hcmiu.edu.vn
Tan Tran Duc
tantd@vnu.edu.vn
2018-08-28T08:35:14Z
2018-08-28T08:35:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3048
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3048
2018-08-28T08:35:14Z
Development of a Real-Time, Simple and High-Accuracy Fall Detection System for Elderly Using 3-DOF Accelerometers
Falls represent a major problem for the elderly people aged 60 or above. There are many monitoring systems which are currently available to detect the fall. However, there is a great need to propose a system which is of optimal effectiveness. In this paper, we propose to develop a low-cost fall detection system to precisely detect an event when an elderly person accidentally falls. The fall detection algorithm compares the acceleration with lower fall threshold and upper fall threshold values to accurately detect a fall event. The post-fall recognition module is the combination of posture recognition and vertical velocity estimation that has been added to our proposed method to enhance the performance and accuracy. In case of a fall, our device will transmit the location information to the contacts instantly via SMS and voice call. A smartphone application will ensure that the notifications are delivered to the elderly person's relatives so that medical attention can be provided with minimal delay. The system was tested by volunteers and achieved 100% sensitivity and accuracy. This was confirmed by testing with public datasets and it also achieved the same percentage in sensitivity and accuracy as in our recorded datasets.
Van Thanh Pham
phamvanthanh1209@gmail.com
Duc Tan Tran
tantd@vnu.edu.vn
Dinh Chinh Nguyen
chinhnd@vnu.edu.vn
Duc Anh Nguyen
anhnd@gmail.com
Nhu Dinh Dang
dangnhu@gmail.com
El-Rabaie El-Sayed Mahmoud
srabie1@yahoo.com
Kumbesan Sandrasegaran
kumbesan.sandrasegaran@uts.edu.au
2018-06-18T07:54:20Z
2018-06-18T07:54:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2998
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2998
2018-06-18T07:54:20Z
Solution-processed CuO thin films with various Cu2+ ion concentrations
Presented herein is a report on cupric oxide (CuO) thin films prepared on glass substrates by using a solution process with varying nominal concentrations of Cu2+ ions at 0.15 M, 0.20 M, and 0.25 M. X-ray diffraction patterns and scanning electron microscopy (SEM) micrographs were analyzed to evaluate the crystalline structure and surface morphology of the CuO thin films. The fabricated CuO thin films exhibited a single-phased monoclinic structure with (200) and (111) orientations. The grain size of the CuO thin films was observed to increase with higher concentration, by SEM observation. The electrical and optical properties of the CuO thin films were investigated using a four-probe measurement system and UV/VIS spectrometer, respectively. The thin films showed a minimum resistivity of 0.085 Ωcm corresponding to the 0.25 M concentration, and a bandgap energy ranging from 2.10 eV to 2.15 eV. In addition, the light-harvesting ability of CuO thin films was considered by the absorption figure of merit (a-FOM), in correlation with the global solar spectrum. A maximum a-FOM value of 12.79 Ω-1cm-1 was attained for the sample with a Cu2+ ion concentration of 0.25 M.
Quang Hoa Nguyen
Van Dung Nguyen
Akihiko Fujiwara
Nguyen Quoc Trinh Bui
trinhbnq@vnu.edu.vn
2018-06-13T09:38:51Z
2018-06-13T09:38:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3013
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3013
2018-06-13T09:38:51Z
Vortex flow generator utilizing synthetic jets by diaphragm vibration
This paper develops a millimeter scale fully packaged device in which a vortex flow of high velocity is generated inside a chamber. Under the actuation by a lead zirconate titanate (PZT) diaphragm, a flow circulates with increasing velocity after each actuating circle to form a vortex in a cavity named as the vortex chamber. At each cycle, the vibration of the PZT diaphragm creates a small net air flow through a rectifying nozzle, generates a synthetic jet which propagates by a gradual circulation toward the vortex chamber and then backward the feedback chamber. The design of such device is firstly conducted by a numerical analysis whose results are considered as the base of our experimental set-up. A vortex flow generated in the votex chamber was observed by a high-speed camera. The present approach which was illustrated by both the simulation and experiment is potential in various applications related to the inertial sensing, fluidic amplifier and micro/nano particle trapping and mixing.
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Thanh Tung Bui
tungbt@vnu.edu.vn
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
2018-06-13T09:38:32Z
2018-06-13T09:38:32Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3012
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3012
2018-06-13T09:38:32Z
Tri-axis convective accelerometer with closed-loop heat source
In this paper, we report the details and findings of a study on tri-axis convective accelerometer, which is designed with the closed-loop type heat source and thermal sensing hotwire elements. The closed-loop heat source enhances the convective flow to the central part where a hotwire is placed to measure the vertical component of acceleration. The simulation was conducted using numerical analysis, and the device was prototyped by additive manufacturing. The device, functioning as a tilt sensor and an accelerometer, was tested up to acceleration of 20 g. The experiments were successfully conducted and the experimental results agreed reasonably with those obtained by numerical analysis. The results demonstrated that the closed-loop heat source could reduce the cross effect between the acceleration components. The scale factor and cross-sensitivity had the values of 0.26 uV/g and 1.2%, respectively. The cross-sensitivity and the effects of heating power were also investigated in this study.
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Lam Bao Dang
lambao@gmail.com
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
Hoa Thanh Phan
hoathanh@gmail.com
2018-06-13T09:38:14Z
2018-06-13T09:38:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3011
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3011
2018-06-13T09:38:14Z
A study of angular rate sensing by corona discharge ion wind
We report an application of ion wind in angular rate sensing. A new design of a jet flow gyroscope using ion wind corona discharge is developed by conducting a numerical simulation and then an experimental analysis with regard to the advantages of a corona-discharge-based jet flow. Ion wind is generated by applying a high-voltage between a pin, as the discharge electrode, and a ring, as the reference electrode. The current-voltage characteristics of the discharge process by experimental works is set up as the boundary condition to describe the ion wind flow in the numerical simulation. A jet flow of ion wind is observed through an experiment and a simulation. When the gyroscope is subjected to an angular rate, the induced Coriolis force deflects the ion wind. This deflection is detected using four hotwires installed downstream of the working chamber behind the reference electrode. The experimental result show that the angular rate can be detected with a sensitivity of 4.7 uV/o/s. Because ion wind can be generated with minimum power and does not require any vibrating components, the device is robust, consumes low power, and is cost-effective.
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
Hoa Thanh Phan
hoathanh@gmail.com
2018-06-13T09:37:58Z
2018-06-13T09:37:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3009
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3009
2018-06-13T09:37:58Z
Dual-pin electrohydrodynamic generator driven by alternating current
We report a unique alternating current (AC) driven corona based air-flow generator using symmetrically arranged electrodes. Unlike the conventional configuration where one electrode generates charged ions moving towards the reference electrode, this configuration allows both negative and positive charges to simultaneously move away from the device and generate ion wind in parallel with the electrodes. In comparison with the direct current (DC) driven corona generator, the time oscillating AC field allows the device a better stabilization owing to the independence of ion wind strength from the inter-electrode spacing. Our results by both simulation and experiment showed that when the AC frequency exceeds a threshold value of 1100 Hz, the electric field at the electrode tips is determined dominantly by the charge cloud created in the previous half-cycle, resulting in stronger net electric field and thus stronger ion wind. In addition, the electrode separation in the AC driven corona based generator is less critical above the frequency threshold, yielding a more robust design with minimized susceptibility to manufacturing tolerances and impurities on the electrodes. Moreover, lower voltage levels of the AC driven system allow simpler and more economical design in the high voltage circuit of the AC generator.
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
Thanh Tung Bui
tungbt@vnu.edu.vn
2018-06-08T08:52:34Z
2018-06-08T08:52:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2970
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2970
2018-06-08T08:52:34Z
D3NER: Biomedical named entity recognition using CRF-biLSTM improved with fine-tuned embeddings of various linguistic information
Thanh Hai Dang
hai.dang@vnu.edu.vn
Hoang Quynh Le
lhquynh@gmail.com
Minh Trang Nguyen
Tien Sinh Vu
2018-06-08T08:51:26Z
2018-06-08T08:51:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2979
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2979
2018-06-08T08:51:26Z
Exploiting User Posts for Web Document Summarization
Relevant user posts such as comments or tweets of a Web document provide additional valuable information to enrich the content of this document. When creating user posts, readers tend to borrow salient words or phrases in sentences. This can be considered as word variation. This paper proposes a framework which models the word variation aspect to enhance the quality of Web document summarization. Technically, the framework consists of two steps: scoring and selection. In the first step, the social information of a Web document such as user posts is exploited to model intra-relations and inter-relations in lexical and semantic levels. These relations are denoted by a mutual reinforcement similarity graph used to score each sentence and user post. After scoring, summaries are extracted by using a ranking approach or concept-based method formulated in the form of Integer Linear Programming. To confirm the efficiency of our framework, sentence and story highlight extraction tasks were taken as a case study on three datasets in two languages, English and Vietnamese. Experimental results show that: (i) the framework can improve ROUGE-scores compared to state-of-the-art baselines of social context summarization and (ii) the combination of the two relations benefits the sentence extraction of single Web documents.
Minh Tien Nguyen
tiennm@jaist.ac.jp
Duc Vu Tran
vu.tran@jaist.ac.jp
Le Minh Nguyen
nguyenml@jaist.ac.jp
Xuan Hieu Phan
hieupx@vnu.edu.vn
2018-06-08T08:40:05Z
2018-06-08T08:40:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2972
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2972
2018-06-08T08:40:05Z
A compact microfluidic chip with integrated impedance biosensor for protein preconcentration and detection
In this study, a low-cost, compact biochip is designed and fabricated for protein detection. Nanofractures formed by self-assembled gold nanoparticles at junction gaps are applied for ion enrichment and depletion to create a trapping zone when electroosmotic flow occurs in microchannels. An impedance measurement module is implemented based on the lock-in amplifier technique to measure the impedance change during antibody growth on the gold electrodes which is caused by trapped proteins in the detection region. The impedance measurement results confirm the presence of trapped proteins. Distinguishable impedance profiles, measured at frequencies in the range of 10–100 kHz, for the detection area taken before and after the presence of proteins validate the performance of the proposed system.
Tuan Vu Quoc
vqtuan0211@gmail.com
Meng-Syuan Wu
Meng-Syuan@gmail.com
Tung Thanh Bui
tungbt@vnu.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
Chun-Ping Jen
Chun-Ping@gmail.com
2018-06-08T08:39:14Z
2018-06-08T08:39:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2971
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2971
2018-06-08T08:39:14Z
Fluidic Platform with Embedded Differential Capacitively Coupled Contactless Conductivity Detector for Micro-Object Sensing
In this paper, we present a microfluidic platform with differential capacitively coupled contactless conductivity detection (DC4D) technique for microparticle detection. The microfluidic platform is formed by bonding PDMS channel to glass substrate. The proposed microfluidic sensor embedded in the microchannel consists of three adjacent electrodes. These electrodes are arranged to form differential coplanar capacitor structures to provide high sensitivity. The differential capacitance is changed when a microsized object crosses the sensing area in the microfluidic channel. This microfluidic system with the novel sensing design based on DC4D technique provides a platform for detection the presence of an object as well as its electrical property.
Loc Quang Do
locdq@hus.edu.vn
Tung Thanh Bui
tungbt@vnu.edu.vn
Ha Thi Thuy Tran
thuyhadt@gmail.com
Kikuchi Katsuya
k.kikuchi@aist.go.jp
Aasahiro Aoyagi
m-aoyagi@aist.go.jp
Duc Trinh Chu
trinhcd@vnu.edu.vn
2018-06-07T07:02:07Z
2018-06-07T07:02:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2959
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2959
2018-06-07T07:02:07Z
An Efficient Parallel Method for Optimizing Concurrent Operations on Social Networks
This paper presents our approach to optimize the performance of both reading and writing concurrent operations on large-scale social network. Here, we focus on the directed, unweighted relationships among members in a social network. It can then be illustrated as a directed, unweighted graph. And determining the relationship between any two members is similar to finding the shortest path between two vertices. With such a large-scale dynamic social network, we face the problem of having concurrent operations from adding or removing edges dynamically while one may ask to determine the relationship between two members. To solve this issue, we propose an efficient parallel method based on (i) utilizing an appropriate data structure, (ii) parallelizing the updating actions and (iii) improving the performance of query processing by both reducing the searching space and computing in multi-threaded parallel. Our method was validated by the datasets from SigMod Contest 2016 and SNAP DataSet Collections with good experimental results compared to other solutions
Phuong Hanh Du
hanhdp@vnu.edu.vn
Hai Dang Pham
dangph@vnu.edu.vn
Ngoc Hoa Nguyen
hoa.nguyen@vnu.edu.vn
2018-06-07T07:01:43Z
2018-06-07T07:01:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2958
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2958
2018-06-07T07:01:43Z
Unearthing new genomic markers of drug response by improved measurement of discriminative power
Oncology drugs are only effective in a small proportion of cancer patients. Our current ability to identify these responsive patients before treatment is still poor in most cases. Thus, there is a pressing need to discover response markers for marketed and research oncology drugs. Screening these drugs against a large panel of cancer cell lines has led to the discovery of new genomic markers of in vitro drug response. However, while the identification of such markers among thousands of candidate drug-gene associations in the data is error-prone, an appraisal of the effectiveness of such detection task is currently lacking.
Cao Cuong Dang
cuongdc@vnu.edu.vn
Antonio Peón
Pedro Ballester
2018-06-01T03:49:04Z
2018-06-01T03:49:04Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2955
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2955
2018-06-01T03:49:04Z
MPBoot: fast phylogenetic maximum parsimony tree inference and bootstrap approximation
BACKGROUND:
The nonparametric bootstrap is widely used to measure the branch support of phylogenetic trees. However, bootstrapping is computationally expensive and remains a bottleneck in phylogenetic analyses. Recently, an ultrafast bootstrap approximation (UFBoot) approach was proposed for maximum likelihood analyses. However, such an approach is still missing for maximum parsimony.
RESULTS:
To close this gap we present MPBoot, an adaptation and extension of UFBoot to compute branch supports under the maximum parsimony principle. MPBoot works for both uniform and non-uniform cost matrices. Our analyses on biological DNA and protein showed that under uniform cost matrices, MPBoot runs on average 4.7 (DNA) to 7 times (protein data) (range: 1.2-20.7) faster than the standard parsimony bootstrap implemented in PAUP*; but 1.6 (DNA) to 4.1 times (protein data) slower than the standard bootstrap with a fast search routine in TNT (fast-TNT). However, for non-uniform cost matrices MPBoot is 5 (DNA) to 13 times (protein data) (range:0.3-63.9) faster than fast-TNT. We note that MPBoot achieves better scores more frequently than PAUP* and fast-TNT. However, this effect is less pronounced if an intensive but slower search in TNT is invoked. Moreover, experiments on large-scale simulated data show that while both PAUP* and TNT bootstrap estimates are too conservative, MPBoot bootstrap estimates appear more unbiased.
CONCLUSIONS:
MPBoot provides an efficient alternative to the standard maximum parsimony bootstrap procedure. It shows favorable performance in terms of run time, the capability of finding a maximum parsimony tree, and high bootstrap accuracy on simulated as well as empirical data sets. MPBoot is easy-to-use, open-source and available at http://www.cibiv.at/software/mpboot .
Thi Diep Hoang
diepht@vnu.edu.vn
Sy Vinh Le
vinhls@vnu.edu.vn
Tomas Flouri
Alexandros Stamatakis
Arndt von Haeseler
Quang Minh Bui
2018-04-10T06:34:01Z
2018-04-10T06:34:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2926
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2926
2018-04-10T06:34:01Z
300-GHz Balanced Varactor Doubler in Silicon CMOS for Ultrahigh-Speed Wireless Communications
This letter presents a 300 GHz transmitter front-end suitable for ultrahigh-speed wireless communications. The transmitter front-end realized in TSMC 40 nm CMOS consists of a varactor based doubler driven by a three-stage D-band power amplifier (PA). Measurement results show that the D-band PA obtains a saturated power of 6.1 dBm and a power added efficiency (PAE) of 4.3%. The balanced varactor doubler results in an output power of -12 dBm at 300 GHz. The transmitter front-end consumes a total DC power of 72.9 mW from a 0.9 V supply voltage while it occupies an area of 0.72 mm2.
Tuan Anh Vu
tanhvu@vnu.edu.vn
Kyoya Takano
kyoya@hiroshima-u.ac.jp
Minoru Fujishima
fuji@hiroshima-u.ac.jp
2018-04-02T03:29:53Z
2018-04-02T03:29:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2929
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2929
2018-04-02T03:29:53Z
Vehicle Mode and Driving Activity Detection Based on Analyzing Sensor Data of Smartphones
In this paper, we present a flexible combined system, namely the Vehicle mode-driving Activity Detection System (VADS), that is capable of detecting either the current vehicle mode or the current driving activity of travelers. Our proposed system is designed to be lightweight in computation and very fast in response to the changes of travelers’ vehicle modes or driving events. The vehicle mode detection module is responsible for recognizing both motorized vehicles, such as cars, buses, and motorbikes, and non-motorized ones, for instance, walking, and bikes. It relies only on accelerometer data in order to minimize the energy consumption of smartphones. By contrast, the driving activity detection module uses the data collected from the accelerometer, gyroscope, and magnetometer of a smartphone to detect various driving activities, i.e., stopping, going straight, turning left, and turning right. Furthermore, we propose a method to compute the optimized data window size and the optimized overlapping ratio for each vehicle mode and each driving event from the training datasets. The experimental results show that this strategy significantly increases the overall prediction accuracy. Additionally, numerous experiments are carried out to compare the impact of different feature sets (time domain features, frequency domain features, Hjorth features) as well as the impact of various classification algorithms (Random Forest, Naïve Bayes, Decision tree J48, K Nearest Neighbor, Support Vector Machine) contributing to the prediction accuracy. Our system achieves an average accuracy of 98.33% in detecting the vehicle modes and an average accuracy of 98.95% in recognizing the driving events of motorcyclists when using the Random Forest classifier and a feature set containing time domain features, frequency domain features, and Hjorth features. Moreover, on a public dataset of HTC company in New Taipei, Taiwan, our framework obtains the overall accuracy of 97.33% that is considerably higher than that of the state-of the art.
Dang Nhac Lu
Duc Nhan Nguyen
Thi Hau Nguyen
nguyenhau@vnu.edu.vn
Ha Nam Nguyen
namnh@vnu.edu.vn
2018-02-06T06:47:21Z
2018-02-06T06:47:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2920
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2920
2018-02-06T06:47:21Z
Quantitative analysis of COOH-terminated alkanethiol SAMs on gold nanoparticle surfaces
Surface-functionalization of a self-assembled monolayer (SAM) can be achieved byintroducing functional molecules
at the terminal. To immobilize biomolecules on a gold
substrate, COOH-terminated alkanethiol SAMs are preferably employed. Thiol molecules adsorption on gold surface was performed using thioglycolic acid (TGA, HS-CH2-COOH) monomers and a self-assembled technique.
Characterization by Fourier transform infrared (FTIR) spectroscopy revealed gold–sulfur (Au–S) bonding through confirming the presence and disappearance of thiol molecules on the Au surface before and after the sample’s immersion in the TGA solution. Moreover, FTIR spectra also proved the presence of carboxyl molecules (C=O; OH) at the free end on the gold nanoparticle (AuNP) surface. Quantitative analysis of the carboxyl molecules interacted with methylene blue (MB) ones, and then identification by UV-Vis absorption spectroscopy showed
that the average density of the carboxyl molecules on the free end of the alkanethiol SAM was about 3.9 × 1014 molecules per cm2
Keywords: Au–S bond, self-assembled monolayer (SAM), thioglycolic acid (TGA), carboxyl molecular density
Cường / Kiên Nguyễn
cuongnk@vnu.edu.vn
2018-02-06T06:43:34Z
2018-02-06T06:43:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2919
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2919
2018-02-06T06:43:34Z
Effects of nitrogen incorporation on structure of a-C:H films deposited on polycarbonate by plasma CVD
Nitrogen-incorporated-hydrogenated amorphous carbon (a-C:H:N) films were deposited on polycarbonate (PC) substrates by r.f. plasmaenhanced chemical vapor deposition (PECVD). Effects of nitrogen incorporation on microstructure, bonding states, chemical composition,
internal stresses, and friction coefficients of deposited films were investigated. The films were characterized by X-ray photoelectron microscopy, infrared microscopy (IR), Raman spectroscopy and friction tests. Results from the measurement indicate that incorporated nitrogen content has considerable effects on film properties. Raman spectra of the a-C:H:N films are broad, asymmetric and centered at around wavenumber of 1500 cm-1. Shifting of the G-peak toward the higher wavenumber, narrower bandwidth of the G-peak and an increase of the ID/IG ratio demonstrate the graphitic character of the a-C:H:N films with the further increase of the atomic fraction of nitrogen (N/C). IR spectra demonstrate nitrogen bonded to carbon and hydrogen as CZN, CMN, N–H and C–H bonding configurations in the aC:H:N films. The internal stress considerably decreased as well as the friction coefficient is low when the N/C fraction increased. The surface roughness of the a-C:H:N films estimated by atomic force microscopy (AFM) seems to be less smooth with the increase in the N/C fraction.
Keywords: Nitrogen incorporation; a-C:H:N films; Plasma-enhanced chemical vapor deposition (PECVD); Polycarbonate
Cường/ Kiên Nguyễn
cuongnk@vnu.edu.vn
M. Tahara
N. Yamauchi,
T. Sone
2018-01-09T03:16:07Z
2018-01-09T03:16:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2885
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2885
2018-01-09T03:16:07Z
Modelling the helium plasma jet delivery of
reactive species into a 3D cancer tumour
Cold atmospheric plasmas have attracted significant worldwide attention for their potential beneficial effects in cancer therapy. In order to further improve the effectiveness of plasma in cancer therapy, it is important to understand the generation and transport of plasma reactive species into tissue fluids, tissues and cells, and moreover the rates and depths of delivery,
particularly across physical barriers such as skin. In this study, helium (He) plasma jet treatmentof a 3D cancer tumour, grown on the back of a live mouse, induced apoptosis within the tumour to a depth of 2.8 mm. The He plasma jet was shown to deliver reactive oxygen species through the unbroken skin barrier before penetrating through the entire depth of the tumour. The depth
and rate of transport of He plasma jet generated H2O2, NO3− and NO2−, as well as aqueous oxygen [O2(aq)], was then tracked in an agarose tissue model. This provided an approximation of the H2O2, NO3−, NO2− and O2(aq) concentrations that might have been generated during the
He plasma jet treatment of the 3D tumour. It is proposed that the He plasma jet can induce apoptosis within a tumour by the ‘deep’ delivery of H2O2, NO3− and NO2− coupled with O2(aq); the latter raising oxygen tension in hypoxic tissue.
Keywords: tissue oxygenation, tissue model, plasma jet, hypoxia, hyperbaric medicine, reactive
oxygen species (ROS) and reactive nitrogen species (RNS), cancer therapy
J Szili Endre
Endre.Szili@unisa.edu.au
Oh Jun-Seok
Hideo Fukuhara
Bhatia Rishab
Gaur Nishtha
Kien Cuong Nguyen
cuongnk@vnu.edu.vn
Hong Sung-Ha
Satsuki Ito
Kotaro Ogawa
Chiaki Kawada
Shuin Taro
Tsuda Masayuki
Matsuo Furihata
Atsushi Kurabayashi
Furuta Hiroshi
Masafumi Ito
Inoue Keiji
Hatta Akimitsu
Short Robert D
2018-01-05T10:01:12Z
2018-01-05T10:01:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2598
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2598
2018-01-05T10:01:12Z
The Impact of Online Learning Activities on Student Learning Outcome in Blended Learning Course
The aim of the study was to determine the impact of online learning activities to the learning outcomes of students who participated in the blended learning course. Interactive activities are considered, in this study, include teacher - student interaction, student - student interaction, student - content interaction, and student - technology interaction. The undergraduate student participated in the blended learning course which using formative assessment to evaluate student learning outcomes by the combination of different learning activities through a learning management system. The quantitative results obtained when implementing learning analytics data from the system through using regression analysis showed that the students interact effectively with learning activities in the course have better results. Quantitative analytical results indicate that student – student interaction has a greater impact on student learning outcomes. These learning activities used for interactive activities as suggestions for teachers to design and implement learning activities for blended learning courses
Viet Anh Nguyen
vietanh@vnu.edu.vn
2018-01-05T04:08:34Z
2018-01-05T04:08:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2903
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2903
2018-01-05T04:08:34Z
Learning from Multiple Classifier Systems: Perspectives for Improving Decision Making of QSAR Models in Medicinal Chemistry
Quantitative Structure - Activity Relationship (QSAR) modeling has been widely used in medicinal chemistry and computational toxicology for many years. Today, as the amount of data on chemicals is increasing dramatically, QSAR methods have become pivotal for the purpose of handling the data, identifying a decision, and gathering useful information from data processing. The advances in this field have paved a way for numerous alternative approaches that require deep mathematics in order to enhance the learning capability of QSAR models. One of these directions is the use of Multiple Classifier Systems (MCSs) that potentially provide a means to exploit the advantages of manifold learning through decomposition frameworks, while improving generalization and predictive performance. In the present paper, we present MCS as a next generation of QSAR modeling techniques and discuss the chance to mining the vast number of models already published in the literature. We systematically revisited the theoretical frameworks of MCS as well as current advances in MCS application for QSAR practice. Furthermore, we illustrate our idea by describing ensemble approaches on modeling histone deacetylase (HDACs) inhibitors. We expect that our analysis would contribute to a better understanding about MCS application and its future perspectives for improving the decision making of QSAR models.
The Hai/V Pham
Hai Nam Nguyen
Viet Nga Doan
Thanh Hai Dang
hai.dang@vnu.edu.vn
Karel Dieguez-Santana
Yovani Marrero-Poncee
Juan/A Castillo-Garit
Gerardo/M Casanola-Martin
Thi Thu Huong Le
2017-12-25T04:17:52Z
2017-12-25T04:17:52Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2842
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2842
2017-12-25T04:17:52Z
New approach to investigate nonlinear dynamic response of
sandwich auxetic double curves shallow shells using TSDT
Nonlinear dynamic behavior of double curved shallow shells with negative Poisson’s ratios in auxetic honeycombs on elastic foundations subjected blast, mechanical and damping loads is investigated in the present article. This study considers double curved shallow shells with auxetic core which have three layers in which the
top and the bottom outer skins are isotropic aluminum materials; the central layer has honeycomb structure
using the same aluminum material. Based on the analytical solution, Reddy’s third order shear deformation
theory (TSDT) with the geometrical nonlinear in von Karman and Airy stress functions method, Galerkin method
and the fourth-order Runge-Kutta method, the resulting equations are solved to obtain expressions for nonlinear
motion equations. The effects of geometrical parameters, material properties, elastic foundations, imperfections,
blast loads, mechanical and damping loads on the nonlinear dynamic analysis of double curved shallow shells
with negative Poisson’s ratios in auxetic honeycombs are studied.
Hong Cong Pham
Duy Khanh Nguyen
Dinh Khoa Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-25T04:01:08Z
2017-12-25T04:01:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2833
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2833
2017-12-25T04:01:08Z
Nonlinear dynamic response and vibration of imperfect shear deformable functionally graded plates subjected to blast and thermal loads
Based on Reddy's higher-order shear deformation plate theory, this article presents an analysis of the nonlinear dynamic response and vibration of imperfect functionally graded material (FGM) thick plates subjected to blast and thermal loads resting on elastic foundations. The material properties are assumed to be temperature-dependent and graded in the thickness direction according to a simple power-law distribution in terms of the volume fractions of the constituents. Numerical results for the dynamic response and vibration of the FGM plates with two cases of boundary conditions are obtained by the Galerkin method and fourth-order Runge–Kutta method. The results show the effects of geometrical parameters, material properties, imperfections, temperature increment, elastic foundations, and boundary conditions on the nonlinear dynamic response and vibration of FGM plates.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Duc Tuan Ngo
Tran Phuong
Quoc Quan Tran
2017-12-25T04:00:17Z
2017-12-25T04:00:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2832
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2832
2017-12-25T04:00:17Z
Nonlinear thermal stability of eccentrically stiffened FGM double curved shallow shells
This article presents analytical solutions for the nonlinear static and dynamic stability of imperfect eccentrically stiffened functionally graded material (FGM) higher order shear deformable double curved shallow shell on elastic foundations in thermal environments. It is assumed that the shell’s properties depend on temperature and change according to the power functions of the shell thickness. The shell is reinforced by the eccentrically longitudinal and transversal stiffeners made of full metal. Equilibrium, motion, and compatibility equations are derived using Reddy’s higher order shear deformation shell theory and taking into account the effects of initial geometric imperfection and the thermal stress in both the shells and stiffeners. The Galerkin method is applied to determine load–deflection and deflection–time curves. For the dynamical response, motion equations are numerically solved using Runge–Kutta method. The nonlinear dynamic critical buckling loads are found according to the criterion suggested by Budiansky–Roth. The influences of inhomogeneous parameters, dimensional parameters, stiffeners, elastic foundations, initial imperfection, and temperature increment on the nonlinear static and dynamic stability of thick FGM double curved shallow shells are discussed in detail. Results for various problems are included to verify the accuracy and efficiency of the approach.
Quoc Quan Tran
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-25T03:50:06Z
2017-12-25T03:50:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2829
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2829
2017-12-25T03:50:06Z
Thermal and mechanical stability of functionally graded carbon nanotubes
(FG CNT)-reinforced composite truncated conical shells surrounded by the
elastic foundations
The thermal and mechanical stability of a functionally graded composite truncated conical shell reinforced by
carbon nanotube fibers and surrounded by the elastic foundations are studied in this paper. Distribution of
reinforcements across the shell thickness is assumed to be uniform or functionally graded. The equilibrium and
linearized stability equations for the shells are derived based on the classical shell theory. Using Galerkin
method, the closed – form expression for determining the linear thermal and mechanical buckling load is
obtained. The paper also analyzed and discussed the effects of semi-vertex angle, shell length, volume fraction of
fibers, distribution pattern of fibers, temperature, elastic foundations on the linear thermal and mechanical
buckling loads of the functionally graded carbon nanotube fibers-reinforced composite (FG CNTRC) truncated
conical shell in thermal environment.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
Duc Tuan Ngo
Tran Phuong
Van Thanh Nguyen
2017-12-25T03:49:20Z
2017-12-25T03:49:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2828
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2828
2017-12-25T03:49:20Z
Constitutive modeling of cyclic plasticity deformation and low–high-cycle fatigue of stainless steel 304 in uniaxial stress state
For constructing a theory that adequately describes the effects of cycling loading, we initially analyze an experimental plastic hysteresis loop of the stainless steel SS304 and allocate on it three backstress types responsible for yield surface center displacement. Evolutionary equations per each backstresses type are formulated based on the equation of plasticity flow theory at combined (isotropic-kinematic) hardening. Material functions (parameters) closing the theory are defined, and basic experiment and identification methods of material function are formulated. Comparison of design results and experiments testifies their reliable compatibility.
Valentin S. Bondar
Vladimir V. Dansin
Long D.Vu
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-25T03:48:53Z
2017-12-25T03:48:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2827
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2827
2017-12-25T03:48:53Z
Nonlinear buckling and postbuckling of imperfect piezoelectric S-FGM circular cylindrical shells with metal–ceramic–metal layers in thermal environment using Reddy's third-order shear deformation shell theory
Based on Reddy's third-order shear deformation shell theory, this paper studied the nonlinear buckling and postbuckling response of imperfect Sigmoid functionally graded circular cylindrical shells in a thermal environment with an outer surface-bonded piezoelectric actuator. Material properties are temperature dependent and graded in the thickness direction with two shell's outer surfaces rich of metal and ceramic in the middle (S-FGM). The shell is subjected to uniform external pressure, axial compressive, electrical loads and resting on elastic foundations. The obtained numerical results are validated by comparing with other results reported in the open literature.
Dinh Khoa Nguyen
Thi Thiem Hoang
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-25T03:48:24Z
2017-12-25T07:21:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2826
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2826
2017-12-25T03:48:24Z
Nonlinear thermo-mechanical response of eccentrically stiffened Sigmoid FGM circular cylindrical shells subjected to compressive and uniform radial loads using the Reddy's third-order shear deformation shell theory
Based on Reddy's third-order shear deformation shell theory, this paper presents an analytical approach to investigate the nonlinear thermo-mechanical response of imperfect Sigmoid FGM circular cylindrical shells surrounded on elastic foundations and reinforced by outside metal stiffeners. The eccentrically stiffened S-FGM shells are subjected to axial compressive load and uniform radial load in thermal environment. Using the stress function, Bubnov–Galerkin method, the paper proposes the formula for forces and moments taking into account the thermal stress in both the shells and stiffeners. The obtained results are validated by comparing with other results reported in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Khoa Nguyen
Thi Thiem Hoang
2017-12-25T03:48:08Z
2017-12-25T03:48:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2825
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2825
2017-12-25T03:48:08Z
Thermomechanical buckling and post-buckling of cylindrical shell with functionally graded coatings and reinforced by stringers
The cylindrical shells reinforced by stringers have been widely used in modern engineering structures such as storage tanks, missile, submarine hull, oil-transmitting pipeline, etc. In this present article, the thermomechanical buckling and post-buckling behaviors of a cylindrical shell with functionally graded (FG) coatings are investigated by an analytical approach. The cylindrical shell is reinforced by outside stringers under torsional load in the thermal environment. The layers of FG coatings are assumed to be made by functionally graded materials (FGMS) combining of ceramic and metal phases and the core of the shell is made from homogeneous material. The classical shell theory based on the von-Karman assumptions is used to model the thin cylindrical shell. Using Galerkin's procedure and Airy stress function, the governing equations can be solved to obtain the closed-form solution for the critical buckling load and postbuckling load-deflection curves of simply supported shells. Moreover, many important parametric studies of stringers, temperature field, material volume fraction index, the thickness of metal layer, etc. are taken into investigation. According to numerical examples, it is revealed that the outside strings have considerably impact on thermomechanical buckling and postbuckling behaviors of the shells.
Toan Thang Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Thoi Trung Nguyen
2017-12-25T03:47:31Z
2017-12-25T03:47:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2824
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2824
2017-12-25T03:47:31Z
Nonlinear dynamic response and vibration of functionally graded carbon nanotubes reinforced composite (FG-CNTRC) shear deformable plates with temperature dependence material properties and surrounded on elastic foundations
Based on Reddy’s third-order shear deformation plate theory, the nonlinear dynamic response and vibration of imperfect functionally graded carbon nanotube-reinforced composite (FG-CNTRC) plates on elastic foundations subjected to dynamic loads and temperature are presented. The plates are reinforced by single-walled carbon nanotubes which vary according to the linear functions of the plate thickness. The plate’s effective material properties are assumed to depend on temperature and estimated through the rule of mixture. By applying the Airy stress function, Galerkin method and fourth-order Runge–Kutta method, nonlinear dynamic response and natural frequency for imperfect FG-CNTRC plates are determined. In numerical results, the influences of geometrical parameters, elastic foundations, initial imperfection, dynamic loads, temperature increment, and nanotube volume fraction on the nonlinear vibration of FG-CNTRC plates are investigated. The obtained results are validated by comparing with those of other authors.
Van Thanh Nguyen
Dinh Khoa Nguyen
Duc Tuan Ngo
Phuong Tran
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-20T04:55:33Z
2017-12-20T04:55:33Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2822
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2822
2017-12-20T04:55:33Z
Analysis of bi-directional functionally graded plates by FEM and a new third-order shear deformation plate theory
only in one specified direction, but also different other directions. In particular, the bi-directional functionally
graded materials (2D-FGMs) introduced are expected to have more effective properties, consequently eliminating
commonly awkward problems such as local stress concentrations and delamination. In this paper,
buckling and bending behaviors of 2D-FGM plates, which are of great importance in the design and development
of engineering applications, are numerically analyzed by a finite element model. The plate kinematics are described
using a new third-order shear deformation plate theory (TSDT), without the need for special treatment of
shear-locking effect and shear correction factors. The present TSDT theory based on rigorous kinematic of displacements,
which is shown to be dominated over other preceding theories, is derived from an elasticity formulation,
rather by the hypothesis of displacements. The materials are assumed to be graded in two directions
and their effective properties are computed through the rule of mixture. The accuracy of the proposed approach
assessed on numerical results is confirmed by comparing the obtained results with respect to reference published
solutions. The effects of some numerical aspect ratios such as volume fraction, boundary conditions, thickness to
length ratio, etc. on static deflections and critical buckling are numerically studied. The investigation of results
confirms that such aforementioned aspect ratios have significant effects on the mechanical behaviors of plates.
Van Thom Do
Dinh Kien Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Quoc Tinh Bui
2017-12-20T04:55:12Z
2017-12-20T04:55:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2823
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2823
2017-12-20T04:55:12Z
Static response and free vibration of functionally graded carbon nanotube-reinforced composite rectangular plates resting on Winkler–Pasternak elastic foundations
In the present article, static response and free vibration of functionally graded carbon nanotube
reinforced composite (FG-CNTRC) rectangular plate resting on Winkler–Pasternak elastic foundations
using an analytical approach are studied. The rectangular plates are reinforced by single-walled carbon
nanotubes (SWCNTs) which are assumed to be graded through the thickness direction with four types
of distributions. The mathematical model of the FG-CNTRC plate is developed based on the first-order
shear deformation plate theory (FSDT) and Hamilton principle. By using Navier solution, the governing
equations are solved to obtain the central deflection and the natural frequency parameters. Several
examples are verified to have higher accuracy than those from the previous method in the literature.
Also, the effects of different parameters on static response and natural frequency of FG-CNTRC plate are
highlighted by solving numerous examples. Finally, these new results may serve as benchmarks for future
investigations.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Jaehong Lee
Thoi Trung Nguyen
Toan Thang Pham
2017-12-20T04:25:14Z
2017-12-20T04:25:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2820
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2820
2017-12-20T04:25:14Z
New approach to study nonlinear dynamic response and vibration of sandwich composite cylindrical panels with auxetic honeycomb core layer
The main goal of this study is using analytical solution to investigate the nonlinear dynamic response and
vibration of sandwich auxetic composite cylindrical panels. The sandwich composite panels have three
layers in which the top and bottom outer skins are isotropic aluminum materials, the central auxetic
core layer – honeycomb structures with negative Poisson’s ratio using the same aluminum material. The
panels are resting on elastic foundations and subjected to mechanical, blast and damping loads. Based
on Reddy’s first order shear deformation theory (FSDT) with the geometrical nonlinear in von Karman
and using the Airy stress functions method, Galerkin method and fourth-order Runge–Kutta method,
the resulting equations are solved to obtain expressions for nonlinear motion equations. The effects
of geometrical parameters, material properties, elastic Winkler and Pasternak foundations, mechanical,
blast and damping loads on the nonlinear dynamic response and the natural frequencies of sandwich
composite cylindrical panels are studied.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Duc Tuan Ngo
Phuong Tran
2017-12-20T04:24:20Z
2017-12-20T04:24:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2819
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2819
2017-12-20T04:24:20Z
A rate-dependent hybrid phase field model for dynamic crack propagation
Several models of variational phase field for fracture have been introduced and analyzed to different degrees of applications, and the rate-independent phase field approach has been shown to be a versatile one, but it is not able to accurately capture crack velocity and dissipated energy in dynamic crack propagation. In this paper, we introduce a novel rate-dependent regularized phase field approach to study dynamic fracture behaviors of polymethylmethacrylate materials, in which the rate coefficient is estimated through energy balance, i.e., dynamics release energy, cohesive energy and dissipated energy. The mode-I dynamics crack problem is considered, and its accuracy is validated with respect to experimental data [F. Zhou, Ph.D. dissertation (The University of Tokyo, Japan, 1996)] and other numerical methods, taking the same configuration, material property, crack location, and other relevant assumptions. The results shed light on the requirement and need for taking the rate-dependent coefficient in dynamic fracture analysis.
Hong Duc Doan
Quoc Tinh Bui
Van Thom Do
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-20T02:58:34Z
2017-12-20T02:58:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2818
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2818
2017-12-20T02:58:34Z
Dynamic response and vibration of composite double curved shallow shells with negative Poisson’s ratio in auxetic honeycombs core layer on elastic foundations subjected to blast and damping loads
The purpose of the present study is to investigate dynamic response and vibration of composite double curved
shallow shells with negative Poisson’s ratios in auxetic honeycombs core layer on elastic foundations subjected
to blast and damping loads using analytical solution. This study considers composite double curved shallow
shells with auxetic core which have three layers in which the top and bottom outer skins are isotropic aluminum
materials; the central layer has honeycomb structure using the same aluminum material. Based on the first order
shear deformation theory (FSDT) with the geometrical nonlinear in von Karman and using Airy stress functions
method, Galerkin method and the fourth-order Runge–Kutta method, the resulting equations are solved to obtain
expressions for nonlinear motion equations. The effects of geometrical parameters, material properties, elastic
foundations Winkler and Pasternak, the nonlinear dynamic analysis and vibration of double curved shallow shells
with negative Poisson’s ratios in auxetic honeycombs core layer are studied
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Hong Cong Pham
Tuan Anh Nguyen
Dinh Khoa Nguyen
2017-12-20T02:57:12Z
2017-12-20T02:57:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2812
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2812
2017-12-20T02:57:12Z
New approach to investigate nonlinear dynamic response and vibration of imperfect functionally graded carbon nanotube reinforced composite double curved shallow shells subjected to blast load and temperature
This paper presents a new approach – using analytical solution to investigate nonlinear dynamic response and vibration of imperfect functionally graded carbon nanotube reinforced composite (FG-CNTRC) double curved shallow shells. The double curved shallow shells are reinforced by single-walled carbon nanotubes (SWCNTs) which vary according to the linear functions of the shell thickness. The shells are resting on elastic foundations and subjected to blast load and temperature. The shell's effective material properties are assumed to depend on temperature and estimated through the rule of mixture. By applying higher order shear theory, Galerkin method and fourth-order Runge–Kutta method and the Airy stress function, nonlinear dynamic response and natural frequency for thick imperfect FG-CNTRC double curved shallow shells are determined. In numerical results, the influences of geometrical parameters, elastic foundations, initial imperfection, temperature increment and nanotube volume fraction on the nonlinear vibration of the FG-CNTRC double curved shallow shells are investigated. The proposed results are validated by comparing with those of other authors.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Quan Tran
Dinh Khoa Nguyen
2017-12-20T02:56:00Z
2017-12-20T02:56:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2810
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2810
2017-12-20T02:56:00Z
The effects of strength models in numerical study of metal plate destruction by contact xeplosive charge
Tuan Anh Truong
Van Thom Do
Tien Dat Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-12-20T02:54:24Z
2017-12-20T02:54:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2809
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2809
2017-12-20T02:54:24Z
Thermal buckling analysis of FGM sandwich truncated conical shells reinforced by FGM stiffeners resting on elastic foundations using FSDT
This work presents an analytical approach to investigate the mechanical and thermal buckling of functionally graded materials sandwich truncated conical shells resting on Pasternak elastic foundations, subjected to thermal load and axial compressive load. Shells are reinforced by closely spaced stringers and rings, in which the material properties of shells and stieners are graded in the
thickness direction following a general sigmoid law distribution and a general power law distribution. Four models of coated shell-stiener arrangements are investigated. The change of spacing between stringers in the meridional direction also is taken into account. Two cases on uniform temperature rise and linear temperature distribution through the thickness of shell are considered. Using the rst-order shear deformation theory, Lekhnitskii smeared stiener technique and the adjacent equilibrium criterion, the linearization stability
equations have been established. Approximate solution satises simply supported boundary conditions and Galerkin method is applied to obtain closed-form expression for determining the critical compression buckling
load and thermal buckling load in cases uniform temperature rise and linear temperature distribution across the shell thickness. The eects of temperature,
foundation, core layer, coating layer, stieners,
material properties, dimensional parameters and semi-vertex angle on buckling behaviors of shell are shown.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Quang Chan Do
2017-12-20T02:51:47Z
2017-12-20T02:51:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2808
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2808
2017-12-20T02:51:47Z
Phase-field thermal buckling analysis for cracked functionally graded composite plates considering neutral surface
In this paper, the variational phase field model is adopted to analyze thermal buckling behavior of cracked
functionally graded material (FGM) plates. Unlike existing works, the difference between neutral surface and
mid-surface of FGM plates is taken into account in the present study. The kinematics of plate is based on first
order shear deformation theory, while the crack is simulated by variational phase-field theory. The critical
buckling temperature rises of cracked FGM plate is calculated, and the obtained results are then compared with
those derived from extended isogeometric analysis by the authors and other numerical methods. We analyze the
thermal buckling of cracked FGM plates for both cases: (a) the mid-surface coincides neutral surface, and (b)
they are different between each other, and then showing their influence. We also investigate the effects of
boundary condition and material properties on thermal buckling of cracked FGM plate. Through these results, it
reveals the necessity to consider the difference between neutral surface and mid-surface in thermal buckling
analysis.
Van Thom Do
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Tinh Bui
2017-12-20T02:51:01Z
2017-12-20T02:51:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2813
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2813
2017-12-20T02:51:01Z
Nonlinear dynamic analysis and vibration of eccentrically stiffened S-FGM elliptical cylindrical shells surrounded on elastic foundations in thermal
environments
Elliptical cylindrical shell is one of shells with special shape. Up to date, there is no publication on vibration and dynamic of functionally graded elliptical cylindrical shells. Therefore, the purpose of the present study is to
investigate the nonlinear dynamic response and vibration of imperfect eccentrically stiffness functionally graded
elliptical cylindrical shells on elastic foundations using both the classical shell theory (CST) and Airy stress
functions method with motion equations using Volmir's assumption. The material properties are assumed to be
temperature - dependent and graded in the thickness direction according to a Sigmoid power law distribution (S
FGM). The S-FGM elliptical cylindrical shell with metal-ceramic-metal layers are reinforced by outside metal
stiffeners. Both the S-FGM elliptical shell and metal stiffeners are assumed to be in thermal environment and
both of them are deformed under temperature simultaneously. Two cases of thermal loading (uniform
temperature rise and temperature variation through thickness) are considered. The nonlinear motion equations
are solved by Galerkin method and Runge-Kutta method (nonlinear dynamic response, natural frequencies). The
effects of geometrical parameters, material properties, elastic foundations Winkler and Pasternak, the nonlinear
dynamic analysis and nonlinear vibration of the elliptical cylindrical shells are studied. The some obtained
results are validated by comparing with those in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Nguyen Pham
Dinh Khoa Nguyen
2017-12-20T02:47:51Z
2017-12-20T02:47:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2805
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2805
2017-12-20T02:47:51Z
The dynamic response and vibration of functionally graded carbon nanotubes reinforced composite (FG-CNTRC) truncated conical shells resting on elastic foundation
Based on the classical shell theory, the linear dynamic response of functionally graded
carbon nanotube-reinforced composite (FG-CNTRC) truncated conical shells resting on elastic
foundations subjected to dynamic loads is presented. The truncated conical shells are reinforced by
single-walled carbon nanotubes (SWCNTs) that vary according to the linear functions of the shell
thickness. The motion equations are solved by the Galerkin method and the fourth-order Runge–Kutta
method. In numerical results, the influences of geometrical parameters, elastic foundations, natural
frequency parameters, and nanotube volume fraction of FG-CNTRC truncated conical shells are
investigated. The proposed results are validated by comparing them with those of other authors.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Nguyen Pham
nguyenpd_58@vnu.edu.vn
2017-12-20T02:45:16Z
2017-12-20T02:45:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2804
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2804
2017-12-20T02:45:16Z
Nonlinear dynamic response and vibration of nanocomposite multilayer organic solar cell
In the recent years, organic solar cell (OSC) has attracted much interest of the research community due to its great promise as renewable sources. This paper presents the first analytical approach to investigate the nonlinear dynamic response and vibration of imperfect rectangular nanocompsite multilayer organic solar cell subjected to mechanical loads using the classical plate theory. Nanocompsite organic solar cell consists of five layers of Al, P3HT:PCBM, PEDOT:PSS, IOT and glass. Motion and compatibility equations are derived using the classical plate theory and taking into account the effects of initial geometrical imperfection and geometrical nonlinearity in
Von Karman – Donnell sense. The Galerkin method and fourth – order Runge – Kutta method are used to give
explicit expressions of natural frequencies, nonlinear frequency – amplitude relation and nonlinear dynamic
responses of nanocompsite organic solar cell. The numerical results show the influences of geometrical parameters,
the thickness of layers, imperfections, and mechanical loads on the nonlinear dynamic response and
nonlinear vibration of nanocompsite organic solar cell.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Seung-Eock Kim
Quoc Quan Tran
Dinh Long Dang
ddlong@vnu.edu.vn
Minh Anh Vu
vuminhanhhp@gmail.com
2017-12-20T02:40:31Z
2017-12-20T02:40:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2797
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2797
2017-12-20T02:40:31Z
Transitivity Demolition and the Fall of Social Networks
In this paper, we study crucial elements of a complex network, namely its nodes and connections, which play a key role in maintaining the network’s structure and function under unexpected structural perturbations of nodes and edges removal. Specifically, we want to identify vital nodes and edges whose failure (either random or intentional) will break the most number of connected triples(or triangles)in the network. This problem is extremely important, because connected triples form the foundation of strong connections in many real-world systems, such as mutual relationships in social networks, reliable data transmission in communication networks, and stable routing strategies in mobile networks. Disconnected triples, analog to broken mutual connections, can greatly affect the network’s structure and disrupt its normal function, which can further lead to the corruption of the entire system. The analysis of such crucial elements will shed light on key factors behind the resilience and robustness of many complex systems in practice. We formulate the analysis under multiple optimization problems and show their intractability. We next propose efficient approximation algorithms, namely, DAK-n and DAK-e, which guarantee an (1 − 1/e)-approximate ratio (compared with the overall optimal solutions) while having the same time complexity as the best triangle counting and listing algorithm on power-lawnetworks.Thisadvantagemakes ouralgorithmsscaleextremelywellevenforverylargenetworks.Inanapplicationperspective,we perform comprehensive experiments on real social traces with millions of nodes and billions of edges. Empirical results indicate that our approaches achieve comparably better solution quality while are up to 100×faster than the current state-of-the-art methods.
The Hung Nguyen
thehung912000@gmail.com
Xuan Huan Hoang
huanhx@vnu.edu.vn
P. Nam Nguyen
Vu Tam Nguyen
Ngoc Thang Dinh
2017-12-12T07:45:06Z
2017-12-12T07:45:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2766
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2766
2017-12-12T07:45:06Z
Satellites may underestimate rice residue and associated burning emissions in Vietnam
In this study, we estimate rice residue, associated burning emissions, and compare results with existing emissions inventories employing a bottom-up approach. We first estimated field-level post-harvest rice residues, including separate fuel-loading factors for rice straw and rice stubble. Results suggested fuel-loading factors of 0.27 kg m−2 (±0.033), 0.61 kg m−2 (±0.076), and 0.88 kg m−2 (±0.083) for rice straw, stubble, and total post-harvest biomass, respectively. Using these factors, we quantified potential emissions from rice residue burning and compared our estimates with other studies. Our results suggest total rice residue burning emissions as 2.24 Gg PM2.5, 36.54 Gg CO and 567.79 Gg CO2 for Hanoi Province, which are significantly higher than earlier studies. We attribute our higher emission estimates to improved fuel-loading factors; moreover, we infer that some earlier studies relying on residue-to-product ratios could be underestimating rice residue emissions by more than a factor of 2.3 for Hanoi, Vietnam. Using the rice planted area data from the Vietnamese government, and combining our fuel-loading factors, we also estimated rice residue PM2.5 emissions for the entirety of Vietnam and compared these estimates with an existing all-sources emissions inventory, and the Global Fire Emissions Database (GFED). Results suggest 75.98 Gg of PM2.5 released from rice residue burning accounting for 12.8% of total emissions for Vietnam. The GFED database suggests 42.56 Gg PM2.5 from biomass burning with 5.62 Gg attributed to agricultural waste burning indicating satellite-based methods may be significantly underestimating emissions. Our results not only provide improved residue and emission estimates, but also highlight the need for emissions mitigation from rice residue burning.
Kristofer Lasko
Krishna Prasad Vadrevu
Tuan Vinh Tran
Evan Ellicott
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
Quang Hung Bui
hungbq@vnu.edu.vn
Christopher Justice
2017-12-12T07:44:09Z
2017-12-12T07:44:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2765
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2765
2017-12-12T07:44:09Z
Improvement of land-cover classification over frequently cloud-covered areas using Landsat 8 time-series composites and an ensemble of supervised classifiers
Recent abundance of moderate-to-high spatial resolution satellite imagery has facilitated land-cover map production. However, in cloud-prone areas, building high-resolution land-cover maps is still challenging due to infrequent satellite revisits and lack of cloud-free data. We propose a classification method for cloud-persistent areas with high temporal dynamics of land-cover types. First, compositing techniques are employed to create dense time-series composite images from all available Landsat 8 images. Then, spectral–temporal features are extracted to train an ensemble of five supervised classifiers. The resulting composite images are clear with at least 99.78% cloud-free pixels and are 20.47% better than their original images on average. We classify seven land classes, including paddy rice, cropland, grass/shrub, trees, bare land, impervious area, and waterbody over Hanoi, Vietnam, in 2016. Using a time series of composites significantly improves the classification performance with 10.03% higher overall accuracy (OA) compared to single composite classifications. Additionally, using time series of composites and the ensemble technique, which combines the best of five experimented classifiers (eXtreme Gradient Boosting, logistic regression, Support Vector Machine (SVM) with Radial Basis Function (RBF) kernel – SVM–RBF and Linear kernel – SVM–Linear, multilayer perceptron), performed best with 84% OA and 0.79 kappa coefficient.
Duc Chuc Man
chucmd@fimo.edu.vn
Thanh Thuy Nguyen
nguyenthanhthuy@vnu.edu.vn
Quang Hung Bui
hungbq@fimo.edu.vn
Kristofer Lasko
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
2017-12-11T09:38:43Z
2019-02-18T04:14:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2748
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2748
2017-12-11T09:38:43Z
On the min-cost traveling salesman problem with drone
Over the past few years, unmanned aerial vehicles (UAV), also known as drones, have been adopted as part of a new logistic method in the commercial sector called "last-mile delivery". In this novel approach, they are deployed
alongside trucks to deliver goods to customers to improve the quality of service and reduce the transportation cost. This approach gives rise to a new variant of the traveling salesman problem (TSP), called TSP with drone (TSP-D). A variant of this problem that aims to minimize the time at which truck and drone finish the service (or, in other words, to maximize the quality of service) was studied in the work of Murray and Chu (2015). In contrast, this paper considers a new variant of TSP-D in which the objective is to minimize operational costs including total transportation cost and one created by
waste time a vehicle has to wait for the other. The problem is first formulated mathematically. Then, two algorithms are proposed for the solution. The first
algorithm (TSP-LS) was adapted from the approach proposed by Murray and Chu (2015), in which an optimal TSP solution is converted to a feasible TSP-D solution by local searches. The second algorithm, a Greedy Randomized Adaptive Search Procedure (GRASP), is based on a new split procedure that optimally splits any TSP tour into a TSP-D solution. After a TSP-D solution has been generated, it is then improved through local search operators. Numerical results obtained on various instances of both objective functions with different sizes and characteristics are presented. The results show that GRASP outperforms TSP-LS in terms of solution quality under an acceptable running time.
Quang Minh Ha
Yves Deville
Quang Dung Pham
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
2017-12-04T02:57:53Z
2017-12-04T02:57:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2735
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2735
2017-12-04T02:57:53Z
Corona anemometry using dual pin probe
In this paper, we present an experimental study on the anemometry characteristics of a bipolar corona discharge probe with symmetrically arranged parallel electrodes. The possibility of measuring a wide range of air flow rate/flow velocity has been demonstrated. The parallel pin probe simultaneously creates positive and negative corona discharge, decomposes air media at both electrodes while keeping them with charge balance ensured by the use of a single isolated power source. This feature is fundamentally different from other reported unipolar discharge configurations, where the decomposed gas and charge is created from single electrode. Under the existence of the air flow, the decomposed gas is redistributed towards the downstream electrode, and changes the current–voltage characteristics of the system. When the probe is in open space, the discharge current is reduced with increasing flow velocity. In constrained space the discharge current behaviour is similar at high flow rates above 25 l min−1, while at low flow rates this relation is reversed. In addition, the sensitivity of discharge current to change in air flow is much higher when the negative pin is placed downstream. Both open space and constrained space characteristics are explained in terms of the influence of external flow on the ozone distribution and its effect on the discharge current. This explanation is supported by ozone measurements, with the data showing good correlation between the discharge current and ozone concentration with respect to the external flow. The role of the electrode separation and discharge voltage is also investigated.
Van Thanh Dau
vandt@gmail.com
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Thanh Tung Bui
tungbt@vnu.edu.vn
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
2017-12-04T02:57:07Z
2017-12-04T02:57:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2729
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2729
2017-12-04T02:57:07Z
30-GHz High-Frequency Application of Screen Printed Interconnects on an Organic Substrate
Printed conductive traces on flexible substrates offer many potential applications in the area of wearable electronics, ranging from search and rescue operations to health and physiological monitoring. The literature abounds on the effect of sintering conditions on the dc electrical resistivity of printed traces, due to the applications considered which fall in the lower frequency domain (megahertz range). There is a growing interest to investigate wireless body area networks for wearable electronics operating in the higher frequencies, due to the advantages involved. At present, there is a little information available on the radio frequency performance of printed interconnects, and this work seeks to investigate the effect of the paste property on the dc conductivity and high-frequency performance ( $\textbackslashle 30$ GHz) of interconnects. The results obtained suggest that paste leveling has a significant influence on the dc electrical performance. In addition, the dc conductivity values are possibly affected by the adhesion of the paste onto the particular substrate during the printing process, which was observed to have a significant effect on the quality and thicknesses of the traces printed. Last, the influence of the dc conductivity on the high-frequency performance of interconnects is investigated, where the measured results are validated with simulation results.
Ying Ying Lim
yingying.lim@aist.go.jp
Yee Mey Goh
Manabu Yoshida
Thanh Tung Bui
tungbt@vnu.edu.vn
Masahiro Aoyagi
Changqing Liu
2017-12-03T11:55:09Z
2017-12-03T11:55:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2728
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2728
2017-12-03T11:55:09Z
Analytical modeling of a silicon-polymer electrothermal microactuator
This paper illustrates both thermal and mechanical analysis methods for displacement and contact force calculating of a novel sensing silicon-polymer microgripper when heat sources are applied by an electric current via its actuators. Thermal analysis is used to obtain temperature profile by figuring out a heat conductions and convections model. Temperature profile is then applied into the mechanical structure of the gripper's actuators to form the final equation of displacement and contact force of the jaws. Finally, the comparison among the calculation, simulation and actual measurement concludes that materialization methods are appropriate. Achieving the final equation of gripper's jaws displacement and contact force is a major step to optimize or reform this novel structure for different sizes to meet specific applications.
Huu Phu Phan
phanhuuphu82@gmail.com
Minh Ngoc Nguyen
minhngoc@gmail.com
Ngoc Viet Nguyen
vietnn.mt@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
2017-12-03T11:17:15Z
2017-12-05T06:29:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2718
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2718
2017-12-03T11:17:15Z
Liquid identification by using a micro-electro-mechanical interdigital transducer
A surface-acoustic-mode aluminum nitride (AlN) transducer is utilized to determine the type of liquid dropped on the propagation path. It is based on tracking the shrinking droplet radius and observing stagnant liquid molecules during and after the liquid evaporation process. The device configuration is suitable to test small amounts of liquids, in the microliter range. According to both mass loading and physical property mechanisms, eight samples of liquids, isopropanol (IPA), ethanol (ETH), deionized-water (DW), tap water (TW), heptane (HEP), propylene glycol monomethyl ether acetate (PGMEA), hexamethyldisilazane (HMDS) and acetone (ACE), which have different equilibrium vapor pressures, molecular weights and boiling points, are accurately detected. The experimental results show that the rate of the change in the energy loss including a slow and fast attenuation region depends on the change of physical properties, such as density, sound speed in liquids and evaporation rate, during the evaporation process. As the evaporation rate of the DW is rather slow, the slow attenuation region occurs for a longer time than the fast one. Consequently, the whole oscillation duration of the attenuation occurs for a longer time, whereas that of the other liquids studied, like ACE, ETH, and IPA, having a faster evaporation rate is shorter. Sensitivities of the surface-acoustic-mode transducer to the evaporation process of liquids such as DW, TW, PGMEA, HMDS, HEP, IPA, ETH and ACE are -29.39, -29.53, -31.79, -34.12, -33.62, -32.87, -32.67, and -32.82 dB small mu m-2{,} respectively. The concentration of stagnant liquid molecules causes a change in the surface mass of the micro-electro-mechanical transducer{,} which causes a frequency shift and increases the signal noise at the receiver after the liquid evaporation process. The average frequency shifts of ACE{,} HEP{,} HMDS{,} ETH{,} IPA{,} PGMEA{,} TW and DW are 241{,} 206{,} 172{,} 117{,} 76{,} 27.3{,} 11.6 and 0 kHz{,} respectively{,} coherent with the type of formed liquid pattern on the device surface{,} thus allowing to detect liquid samples effectively.
Thu Hang Bui
T.H.Bui@tudelft.nl
Bruno Morana
morana@gmail.com
Atef Akhnoukh
akhnoukh@gmail.com
Duc Chu
trinhcd@vnu.edu.vn
Pasqualina M. Sarro
sarro@gmail.com
2017-11-21T22:11:58Z
2018-01-10T07:58:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2664
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2664
2017-11-21T22:11:58Z
Design and implementation of site-specific rainfall-induced landslide early warning and monitoring system: a case study at Nam Dan landslide (Vietnam)
ABSTRACTThis paper proposes and implements an early warning and monitoring system for rainfall-induced landslide (named as EWMRIL) with a case study at the Nam Dan landslide (northern Vietnam). The proposed system consists of six sensor nodes and one rainfall station that are used to sense large amounts of data in real-time such as soil moisture, pore-water pressure (PWP), movement status, and rainfall. In addition, a new flexible configuration for the wireless communication system is proposed that is capable not only to save the energy consuming but also to ensure the reliability of the system. Using wireless communication system, the sensed data were sent to the computer station for analyzing and predicting the instability of the landslide in terms of factor of safety (FoS) using the finite element seepage analysis and the limit equilibrium slope stability analysis methods. These methods are available in the SEEP/W and SLOPE/W modules of the GeoStudio software. Based on the analyzing results, the system proposed three warning levels for the landslide Early, Intermediate, and Imminent. Experiment result in the rainy season from August to September 2016 has proven the validity of the EWMRIL system. The result of this study is useful for landslide risk prevention and management in landslide prone-areas.
Quoc Anh Gian
gianquocanh@gmail.com
Duc Tan Tran
tantd@vnu.edu.vn
Dinh Chinh Nguyen
chinhnd@vnu.edu.vn
Viet Ha Nhu
vietha.nhu@gmail.com
Tien Dieu Bui
BuiTienDieu@gmail.com
2017-11-08T07:18:14Z
2017-11-08T07:18:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2621
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2621
2017-11-08T07:18:14Z
Interface Charge Trap Density of Solution Processed Ferroelectric Gate Thin Film Transistor Using ITO/PZT/Pt Structure
The conductance method was applied to investigate the interface charge trap density
(Dit) of solution processed ferroelectric gate thin film transistor (FGT) using indium-tin
oxide (ITO)/ Pb(Zr,Ti)O3 (PZT)/Pt structure. As a result, a large value of Dit of MFS
capacitor, i.e., Pt/PZT/ITO, was estimated to be 1.2 × 1014 eV−1 cm−2. This large Dit
means that an interface between the ITO layer and the PZT layer is imperfect and it is
one of themain reasons for the poor memory property of this FGT. By using transmission
electron microscopy (TEM), this imperfect interface was clearly observed. Thus, it is
concluded that improvement of this interface is critical for better memory performance.
Van Thanh Pham
Nguyen Quoc Trinh Bui
trinhbnq@vnu.edu.vn
Miyasako Takaaki
Trong Tue Phan
Tokumitsu Eisuke
Shimoda Tatsuya
2017-11-08T07:15:36Z
2017-11-08T07:15:36Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2620
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2620
2017-11-08T07:15:36Z
Switchable Voltage Control of the Magnetic Anisotropy in Heterostructured Nanocomposites of CoFe/NiFe/PZT
In this work, we study the magnetic properties of a CoFe/NiFe/PZT heterostructured nanocomposite
that is affected by the strain in the PZT substrate when a voltage in the range from –250
to 250 V is applied. An interesting electric-voltage-controlled magnetic anisotropy, with a relative
increase in magnetization up to above 100%, is observed. This brings a new challenge to operate a
low-power-consuming spin electronic device. We also utilize a theoretical model based on interfacecharge-
mediated and strain-mediated magnetic-electric coupling to understand the change in the
magnetic properties of the investigated material.
Thi Minh Hong Nguyen
hongntm@vnu.edu.vn
Ba Doan Nguyen
Huy Tiep Nguyen
Viet Cuong Le
cuonglv@vnu.edu.vn
Nguyen Quoc Trinh Bui
trinhbnq@vnu.edu.vn
Duc Thang Pham
Dong-Hyun Kim
2017-11-08T07:13:14Z
2017-11-08T07:13:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2619
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2619
2017-11-08T07:13:14Z
Electric Properties and Interface Charge Trap Density of Ferroelectric Gate Thin Film Transistor Using (Bi,La)4Ti3O12/Pb(Zr,Ti)O3 Stacked Gate Insulator
We successfully fabricated ferroelectric gate thin film transistors (FGTs) using solution-processed (Bi,La)4Ti3O12 (BLT)/Pb(Zr,Ti)O3 (PZT)
stacked films and an indium–tin oxide (ITO) film as ferroelectric gate insulators and an oxide channel, respectively. The typical n-type channel
transistors were obtained with the counterclockwise hysteresis loop due to the ferroelectric property of the BLT/PZT stacked gate insulators.
These FGTs exhibited good device performance characteristics, such as a high ON/OFF ratio of 106, a large memory window of 1.7–3.1 V, and a
large ON current of 0.5–2.5 mA. In order to investigate interface charge trapping for these devices, we applied the conductance method to MFS
capacitors, i.e., Pt/ITO/BLT/PZT/Pt capacitors. As a result, the interface charge trap density (Dit) between the ITO and BLT/PZT stacked films
was estimated to be in the range of 10�11–10�12 eV�1 cm�2. The small Dit value suggested that good interfaces were achieved.
Van Thanh Pham
Nguyen Quoc Trinh Bui
trinhbnq@vnu.edu.vn
Miyasako Takaaki
Trong Tue Phan
Tokumitsu Eisuke
Shimoda Tatsuya
2017-11-07T16:27:28Z
2017-12-05T06:28:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2629
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2629
2017-11-07T16:27:28Z
Interference Suppression of ULA Antennas by Phase-only Control Using BAT Algorithm
This paper proposes an adaptive BAT algorithm (BA) based beamformer for pattern nulling of half-wave Dipole Uniformly Spaced Linear Array (DULA), of which the imposed nulls have been placed at directions of interferences. This pattern nulling is obtained by controlling only the phase of each array element. In order to verify the proposal, several scenarios of DULA pattern with the pre-set nulls have been performed and compared with those of genetic algorithm (GA) and accelerated particle swarm optimization (APSO) considering mutual coupling. The proposed beamformer has shown the ability to suppress side lobes, to maintain predefined beamwidth, to place precisely single, multiple, and broad nulls at an arbitrary direction of interferences. Furthermore, the beamformer is much faster and more effective in terms of null steering and side lobe suppression in pattern synthesis than GA and APSO based ones.
Van Luyen Tong
tvluyen@gmail.com
Vu Bang Giang Truong
giangtvb@vnu.edu.vn
2017-11-02T22:28:00Z
2018-01-10T07:51:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2605
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2605
2017-11-02T22:28:00Z
Effect of droplet shrinking on surface acoustic wave response in microfluidic applications
The effect of the contact angle and radius of a microsize droplet on the surface acoustic wave (SAW) response for microfluidic applications is reported. It is studied through the dynamic change of the droplet shape during the evaporation process. An aluminium nitride SAW device, operating at 125.7 MHz, is utilized to investigate the deformation of the droplet shape (contact angle and contact radius) caused by shrinking. The large cavity placed on the propagation path distorts the in-band SAW response one time at the centre frequency. The fractional coefficient of the SAW insertion loss, before and after dropping the liquid on the propagation path, is continuously recorded. The change in the fractional coefficient shows that the radiated acoustic kinetic energy depends on the contact area between the sessile micro-size droplet and the SAW device more than the contact angle of the droplet. Three droplet volumes have been considered, namely 0.05, 0.1 and 0.13 μl, and the electrical results show a better agreement with the theoretical data than the optical image data. The average duration of the fractional coefficient change for these cases is 420, 573 and 760 s, respectively. The effect of the hydrophobicity versus hydrophilicity of the contact surface on the duration of the fractional coefficient change is studied by coating the SAW with a silicon oxide or hexamethyldisilazane (HMDS) thin layer. For the same 0.05 μl sessile droplet on the hydrophobic surface, this duration is on average 110 s longer than that on the hydrophilic surface.
ThuHang Bui
hangbt@vnu.edu.vn
Van Nguyen
nguyenvan@gmail.com
Sten Vollebregt
Vollebregt@gmail.com
Bruno Morana
morana@gmail.com
Henk van Zeijl
henkvz@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Pasqualina M Sarro
sarrop@gmail.com
2017-11-01T14:00:13Z
2017-11-01T14:00:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2599
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2599
2017-11-01T14:00:13Z
Semiautomated registration of pre- and intraoperative CT for image-guided percutaneous liver tumor ablation interventions
Gokhan Gunay
Manh Ha Luu
Adriaan Moelker
Theo van Walsum
Stefan Klein
2017-10-31T01:53:07Z
2017-10-31T01:53:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2582
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2582
2017-10-31T01:53:07Z
Precision and recall oncology: combining multiple gene mutations for improved identification of drug-sensitive tumours
Cancer drug therapies are only effective in a small proportion of patients. To make things worse, our ability to identify these responsive patients before administering a treatment is generally very limited. The recent arrival of large-scale pharmacogenomic data sets, which measure the sensitivity of molecularly profiled cancer cell lines to a panel of drugs, has boosted research on the discovery of drug sensitivity markers. However, no systematic comparison of widely-used single-gene markers with multi-gene machine-learning markers exploiting genomic data has been so far conducted. We therefore assessed the performance offered by these two types of models in discriminating between sensitive and resistant cell lines to a given drug. This was carried out for each of 127 considered drugs using genomic data characterising the cell lines. We found that the proportion of cell lines predicted to be sensitive that are actually sensitive (precision) varies strongly with the drug and type of model used. Furthermore, the proportion of sensitive cell lines that are correctly predicted as sensitive (recall) of the best single-gene marker was lower than that of the multi-gene marker in 118 of the 127 tested drugs. We conclude that single-gene markers are only able to identify those drug-sensitive cell lines with the considered actionable mutation, unlike multi-gene markers that can in principle combine multiple gene mutations to identify additional sensitive cell lines. We also found that cell line sensitivities to some drugs (e.g. Temsirolimus, 17-AAG or Methotrexate) are better predicted by these machine-learning models.
Stefan Naulaerts
Cao Cuong Dang
cuongdc@vnu.edu.vn
Pedro Ballester
2017-10-31T01:52:06Z
2017-10-31T01:52:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2578
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2578
2017-10-31T01:52:06Z
FLU, an Amino Acid Substitution Model for Influenza Proteins
The amino acid substitution model is the core component of many protein analysis systems such as sequence similarity search, sequence alignment, and phylogenetic inference. Although several general amino acid substitution models have been estimated from large and diverse protein databases, they remain inappropriate for analyzing specific species, e.g., viruses. Emerging epidemics of influenza viruses raise the need for comprehensive studies of these dangerous viruses. We propose an influenza-specific amino acid substitution model to enhance the understanding of the evolution of influenza viruses.
Cao Cuong Dang
Si Quang Le
Olivier Gascuel
Sy Vinh Le
vinhls@vnu.edu.vn
2017-10-23T08:45:53Z
2017-10-23T08:45:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2577
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2577
2017-10-23T08:45:53Z
How Reliable Are Ligand-Centric Methods for Target Fishing?
Computational methods for Target Fishing (TF), also known as Target Prediction or Polypharmacology Prediction, can be used to discover new targets for small-molecule drugs. This may result in repositioning the drug in a new indication or improving our current understanding of its efficacy and side effects. While there is a substantial body of research on TF methods, there is still a need to improve their validation, which is often limited to a small part of the available targets and not easily interpretable by the user. Here we discuss how target-centric TF methods are inherently limited by the number of targets that can possibly predict (this number is by construction much larger in ligand-centric techniques). We also propose a new benchmark to validate TF methods, which is particularly suited to analyse how predictive performance varies with the query molecule. On average over approved drugs, we estimate that only five predicted targets will have to be tested to find two true targets with submicromolar potency (a strong variability in performance is however observed). In addition, we find that an approved drug has currently an average of eight known targets, which reinforces the notion that polypharmacology is a common and strong event. Furthermore, with the assistance of a control group of randomly-selected molecules, we show that the targets of approved drugs are generally harder to predict.
Antonio Peon
Cao Cuong Dang
Pedro Ballester
2017-10-16T08:14:57Z
2017-10-16T08:14:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2574
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2574
2017-10-16T08:14:57Z
The vehicle routing problem with service level constraints
We consider a vehicle routing problem which seeks to minimize cost subject to service level constraints on several groups of deliveries. This problem captures some essential challenges faced by a logistics provider which operates transportation services for a limited number of partners and should respect contractual obligations on service levels. The problem also generalizes several important classes of vehicle routing problems with profits. To solve it, we propose a compact mathematical formulation, a branch-and-price algorithm, and a hybrid genetic algorithm with population management, which relies on problem-tailored solution representation, crossover and local search operators, as well as an adaptive penalization mechanism establishing a good balance between service levels and costs. Our computational experiments show that the proposed heuristic returns very high-quality solutions for this difficult problem, matches all optimal solutions found for small and medium-scale benchmark instances, and improves upon existing algorithms for two important special cases: the vehicle routing problem with private fleet and common carrier, and the capacitated profitable tour problem. The branch-and-price algorithm also produces new optimal solutions for all three problems.
Teobaldo Bulhões
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
Rafael Martinelli
Thibaut Vidal
2017-10-16T08:13:57Z
2017-10-16T08:13:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2573
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2573
2017-10-16T08:13:57Z
Solving the multi-vehicle multi-covering tour problem
The well-known multi-vehicle covering tour problem (m-CTP) involves finding a minimum-length set of vehicle routes passing through a subset of vertices, subject to constraints on the length of each route and the number of vertices that it contains, such that each vertex not included in any route is covered. Here, a vertex is considered as covered if it lies within a given distance of at least a vertex of a route. This article introduces a generalized variant of the m-CTP that we called the multi-vehicle multi-covering Tour Problem (mm-CTP). In the mm-CTP, a vertex must be covered at least not only once but several times. Three variants of the problem are considered. The binary mm-CTP where a vertex is visited at most once, the mm-CTP without overnight where revisiting a vertex is allowed only after passing through another vertex and the mm-CTP with overnight where revisiting a vertex is permitted without any restrictions. We first propose graph transformations to convert the last two variants into the binary one and focus mostly on solving this variant. A special case of the problem is then formulated as an integer linear program and a branch-and-cut algorithm is developed. We also develop a Genetic Algorithm (GA) that provides high-quality solutions for the problem. Extensive computational results on the new problem mm-CTP as well as its other special cases show the performance of our methods. In particular, our GA outperforms the current best metaheuristics proposed for a wide class of CTP problems.
Tuan Anh Pham
Minh Hoang Ha
minhhoang.ha@vnu.edu.vn
Xuan Hoai Nguyen
2017-08-31T10:17:16Z
2017-08-31T10:17:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2567
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2567
2017-08-31T10:17:16Z
Performance Analysis of Frequency Reuse for PPP Networks in Composite Rayleigh-Lognormal Fading Channel
Sinh Cong Lam
congls@vnu.edu.vn
Kumbesan Sandrasegaran
Pantha Ghosal
2017-07-31T03:09:51Z
2017-12-07T06:49:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2562
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2562
2017-07-31T03:09:51Z
A Comprehensive Reliability Assessment of Fault-Resilient Network-on-Chip Using Analytical Model
Nam Khanh Dang
dnk0904@gmail.com
Akram Ben Ahmed
Xuan Tu Tran
tutx@vnu.edu.vn
Yuichi Okuyama
Abderazek Ben Abdallah
benab@u-aizu.ac.jp
2017-07-06T10:55:08Z
2018-01-10T07:55:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2552
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2552
2017-07-06T10:55:08Z
Development of a Real Time Supported Program for Motorbike Drivers Using Smartphone Built-in Sensors
Using mobile phones during traffic progress is one of the main causes traffic accidents because drivers do not focus on driving, they try to listen phone calls or to text some messages... Most of research work has focused to car driving. However, using motorbike is very popular in some developing countries such as Vietnam, India, etc. Up to now, there are just a few works, which focus to motorbike driving with obvious limitations. Thus, in this research, we proposed a complete solution for bikers who own a smartphone. Our work exploits the information from built-in sensors in Android smartphone. A complete scheme for motorbike driving is proposed. In this scheme, the user state is detected by improving the current Google activity recognition API. If the state is “On vehicle”, the phone automatically switches to silent mode and send to the caller an SMS. Our work provides a mechanism to receive the calls from VIP contacts and urgent calls. The phone would switch back to the normal mode if the state is not "On vehicle". Furthermore, it sends the accident location to the relatives when an accident occurs to save their lives automatically. The application was tested carefully and it can be used to protect the lives of motorbike drivers.
Van Thanh Pham
phamvanthanh1209@gmail.com
Tien Anh Nguyen
anhnt007@gmail.com
Duc Nghia Tran
nghiatranduc1986@yahoo.com
Duc Anh Nguyen
ndanh@gmail.com
Duc Tan Tran
tantd@vnu.edu.vn
2017-07-04T02:52:33Z
2017-07-04T02:52:33Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2543
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2543
2017-07-04T02:52:33Z
raSAT: an SMT solver for polynomial constraints
This paper presents raSAT SMT solver, which is aimed to handle polynomial constraints over both reals and integers with simple unified methodologies. Its three main features are (1) a raSAT loop for inequalities, which adds testing to interval constraint propagation to accelerate SAT detection, (2) a non-constructive reasoning for equations over reals based on the generalized intermediate value theorem, and (3) soundness of floating-point arithmetic that is guaranteed by (a) rounding up/down over-approximations of intervals, and (b) confirmation of a satisfying instance detected by testing using the iRRAM package, which guarantees error bounds.
Vu Xuan Tung
Van Khanh To
khanhtv@vnu.edu.vn
Mizuhito Ogawa
2017-07-03T07:57:40Z
2017-07-03T07:59:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2519
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2519
2017-07-03T07:57:40Z
Improved mitochondrial amino acid substitution models for metazoan
evolutionary studies
Background: Amino acid substitution models play an essential role in inferring phylogenies from mitochondrial
protein data. However, only few empirical models have been estimated from restricted mitochondrial protein data
of a hundred species. The existing models are unlikely to represent appropriately the amino acid substitutions from
hundred thousands metazoan mitochondrial protein sequences.
Results: We selected 125,935 mitochondrial protein sequences from 34,448 species in the metazoan kingdom to
estimate new amino acid substitution models targeting metazoa, vertebrates and invertebrate groups. The new models
help to find significantly better likelihood phylogenies in comparison with the existing models. We noted remarkable
distances from phylogenies with the existing models to the maximum likelihood phylogenies that indicate a considerable
number of incorrect bipartitions in phylogenies with the existing models. Finally, we used the new models and
mitochondrial protein data to certify that Testudines, Aves, and Crocodylia form one separated clade within amniotes.
Conclusions: We introduced new mitochondrial amino acid substitution models for metazoan mitochondrial proteins.
The new models outperform the existing models in inferring phylogenies from metazoan mitochondrial protein data.
We strongly recommend researchers to use the new models in analysing metazoan mitochondrial protein data.
Sy Vinh Le
vinhls@vnu.edu.vn
Cuong Dang
cuongdc@vnu.edu.vn
Quang Le
lsquang@gmail.com
2017-07-03T07:57:23Z
2017-07-03T07:57:23Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2518
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2518
2017-07-03T07:57:23Z
A mutation in GABRB3 associated with Dravet syndrome
Dravet syndrome is a rare and severe type of epilepsy in infants. Approximately, 70–80% of
patients with Dravet syndrome have mutations in SCN1A, the gene encoding the alpha-1
subunit of the sodium channel, while some simplex patients have variants in one of several other
genes, including but not limited to GABRA1, SCN2A, STXBP1, GABRG2, and SCN1B. In this study,
we performed exome sequencing in six patients with SCN1A-negative Dravet syndrome to
identify other genes related to this disorder. In one affected individual, we detected a novel de
novo heterozygous missense variant, c.695G>A, p.(Arg232Gln), in GABRB3, the gene encoding
the β3-subunit of the gamma-aminobutyric acid type A (GABAA) receptor, which mediates
inhibitory signaling within the central nervous system. In summary, the data in this study identify
GABRB3 as a candidate gene for Dravet syndrome.
Sy Vinh Le
vinhls@vnu.edu.vn
Truc Le
Van Khanh Le
Huynh Kieu
Hang Do
hangdo009@gmail.com
2017-07-02T14:43:13Z
2017-07-02T14:43:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2545
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2545
2017-07-02T14:43:13Z
Performance Prediction for Students: A Multi-Strategy Approach
This paper presents a study on Predicting Student Performance (PSP) in academic systems. In order to solve the task, we have proposed and investigated different strategies. Specifically, we consider this task as a regression problem and a rating prediction problem in recommender systems. To improve the performance of the former, we proposed the use of additional features based on course-related skills. Moreover, to effectively utilize the outputs of these two strategies, we also proposed a combination of the two methods to enhance the prediction performance. We evaluated the proposed methods on a dataset which was built using the mark data of students in information technology at Vietnam National University, Hanoi (VNU). The experimental results have demonstrated that unlike the PSP in e-Learning systems, the regression-based approach should give better performance than the recommender system-based approach. The integration of the proposed features also helps to enhance the performance of the regression-based systems. Overall, the proposed hybrid method achieved the best RMSE score of 1.668. These promising results are expected to provide students early feedbacks about their (predicted) performance on their future courses, and therefore saving times of students and their tutors in determining which courses are appropriate for students’ ability.
Thi Oanh Tran
Hai Trieu Dang
Viet Thuong Dinh
Thi Minh Ngoc Truong
Thi Phuong Thao Vuong
Xuan Hieu Phan
hieupx@vnu.edu.vn
2017-06-29T15:14:04Z
2017-06-29T15:14:04Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2542
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2542
2017-06-29T15:14:04Z
Na-doped La 0.7 Ca 0.3 MnO 3 compounds exhibiting a large magnetocaloric effect near room temperature
Dinh Chi Linh
Nguyen Thi Ha
Huu Duc Nguyen
ducnh@vnu.edu.vn
Le Viet Bau
Nguyen Manh An
Seong-Cho Yu
Tran Dang Thanh
2017-06-28T14:51:27Z
2017-06-28T14:51:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2525
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2525
2017-06-28T14:51:27Z
Systematic assessment of multi-gene predictors of pan-cancer cell line sensitivity to drugs exploiting gene expression data
Background: Selected gene mutations are routinely used to guide the selection of cancer drugs for a given patient tumour. Large pharmacogenomic data sets, such as those by Genomics of Drug Sensitivity in Cancer (GDSC) consortium, were introduced to discover more of these single-gene markers of drug sensitivity. Very recently, machine learning regression has been used to investigate how well cancer cell line sensitivity to drugs is predicted depending on the type of molecular profile. The latter has revealed that gene expression data is the most predictive profile in the pan-cancer setting. However, no study to date has exploited GDSC data to systematically compare the performance of machine learning models based on multi-gene expression data against that of widely-used single-gene markers based on genomics data. Methods: Here we present this systematic comparison using Random Forest (RF) classifiers exploiting the expression levels of 13,321 genes and an average of 501 tested cell lines per drug. To account for time-dependent batch effects in IC50 measurements, we employ independent test sets generated with more recent GDSC data than that used to train the predictors and show that this is a more realistic validation than standard k-fold cross-validation. Results and Discussion: Across 127 GDSC drugs, our results show that the single-gene markers unveiled by the MANOVA analysis tend to achieve higher precision than these RF-based multi-gene models, at the cost of generally having a poor recall (i.e. correctly detecting only a small part of the cell lines sensitive to the drug). Regarding overall classification performance, about two thirds of the drugs are better predicted by the multi-gene RF classifiers. Among the drugs with the most predictive of these models, we found pyrimethamine, sunitinib and 17-AAG. Conclusions: Thanks to this unbiased validation, we now know that this type of models can predict in vitro tumour response to some of these drugs. These models can thus be further investigated on in vivo tumour models. R code to facilitate the construction of alternative machine learning models and their validation in the presented benchmark is available at http://ballester.marseille.inserm.fr/gdsc.transcriptomicDatav2.tar.gz.
Linh Nguyen
Cao Cuong Dang
Pedro J. Ballester
2017-06-14T09:49:48Z
2017-06-14T09:49:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2391
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2391
2017-06-14T09:49:48Z
Behavior-based Navigation of Mobile Robot in Unknown Environments Using Fuzzy Logic and Multi-Objective Optimization
This study proposes behavior-based navigation architecture, named BBFM, to deal with the problem of navigating the mobile robot in unknown environments in the presence of obstacles and local minimum regions. In the architecture, the complex navigation task is split into principal sub-tasks or behaviors. Each behavior is implemented by a fuzzy controller and executed independently to deal with a specific problem of navigation. The fuzzy controller is modified to contain only the fuzzification and inference procedures so that its output is a membership function representing the behavior’s objective. The membership functions of all controllers are then used as the objective functions for a multi-objective optimization process to coordinate all behaviors. The result of this process is an overall control signal, which is Pareto-optimal, used to control the robot. A number of simulations, comparisons, and experiments were conducted. The results show that the proposed architecture outperforms some popular behaviorbased architectures in term of accuracy, smoothness, traveled distance, and time response.
Thi Thanh Van Nguyen
vanntt@vnu.edu.vn
Manh Duong Phung
duongpm@vnu.edu.vn
Quang Vinh Tran
vinhtq@vnu.edu.vn
2017-06-14T09:49:05Z
2017-06-14T09:49:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2512
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2512
2017-06-14T09:49:05Z
Enhanced discrete particle swarm optimization path planning for UAV vision-based surface inspection
In built infrastructure monitoring, an efficient path planning algorithm is essential for robotic inspection of large surfaces using computer vision. In this work, we first formulate the inspection path planning problem as an extended travelling salesman problem (TSP) in which both the coverage and obstacle avoidance were taken into account. An enhanced discrete particle swarm optimization (DPSO) algorithm is then proposed to solve the TSP, with performance improvement by using deterministic initialization, random mutation, and edge exchange. Finally, we take advantage of parallel computing to implement the DPSO in a GPU-based framework so that the computation time can be significantly reduced while keeping the hardware requirement unchanged. To show the effectiveness of the proposed algorithm, experimental results are included for datasets obtained from UAV inspection of an office building and a bridge.
Manh Duong Phung
duongpm@vnu.edu.vn
Cong Hoang Quach
Tran Hiep Dinh
tranhiep.dinh@vnu.edu.vn
Ha Quang
quang.ha@uts.edu.au
2017-06-12T04:06:16Z
2017-06-12T04:06:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2494
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2494
2017-06-12T04:06:16Z
Robust Global Optimization of Electromagnetic Devices With Uncertain Design Parameters: Comparison of the Worst Case Optimization Methods and Multiobjective Optimization Approach Using Gradient Index
The uncertainties in design variables are unavoidable in the optimal design of electromagnetic devices, and there is an imperative demand to find a robust design, which is insensitive to the uncertainties and remains within the feasible region of constraints even perturbed by the uncertainties. In this paper, a gradient-based worst case optimization (G-WCO) algorithm is proposed in a limited uncertainty set to increase the numerical efficiency based on the worst case optimization (WCO) algorithm. Through applications to the robust optimal design of TEAM 22, the performances of the proposed G-WCO, conventional WCO, and multiobjective optimization approach using gradient index (GI) are compared.
Z. Ren
Minh Trien Pham
trienpm@vnu.edu.vn
Chang Seop Koh
2017-06-12T04:05:36Z
2017-06-12T04:05:36Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2493
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2493
2017-06-12T04:05:36Z
Multiguiders and Nondominate Ranking Differential Evolution Algorithm for Multiobjective Global Optimization of Electromagnetic Problems
The differential evolution (DE) algorithm was initially developed for single-objective problems and was shown to be a fast, simple algorithm. In order to utilize these advantages in real-world problems it was adapted for multiobjective global optimization (MOGO) recently. In general multiobjective differential evolutionary algorithm, only use conventional DE strategies, and, in order to optimize performance constrains problems, the feasibility of the solutions was considered only at selection step. This paper presents a new multiobjective evolutionary algorithm based on differential evolution. In the mutation step, the proposed method which applied multiguiders instead of conventional base vector selection method is used. Therefore, feasibility of multiguiders, involving constraint optimization problems, is also considered. Furthermore, the approach also incorporates nondominated sorting method and secondary population for the nondominated solutions. The propose algorithm is compared with resent approaches of multiobjective optimizers in solving multiobjective version of Testing Electromagnetic Analysis Methods (TEAM) problem 22.
N. Baatar
Minh Trien Pham
trienpm@vnu.edu.vn
Chang Seop Koh
2017-06-12T04:03:31Z
2017-06-12T04:04:31Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2491
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2491
2017-06-12T04:03:31Z
A Global Optimization Algorithm for Electromagnetic Devices by Combining Adaptive Taylor Kriging and Particle Swarm Optimization
Abstract:
This paper presents an efficient optimization strategy which employs adaptive Taylor Kriging and Particle Swarm Optimization (PSO). In this method, the objective function of electromagnetic problem is interpolated by using adaptive Taylor Kriging, in which the covariance parameter is obtained by Maximum Likelihood Estimation (MLE). And then, PSO is used to search for optimal solutions of electromagnetic problem. The proposed algorithm is verified its validity by analytic functions and TEAM (Testing of Electromagnetic Analysis Method) problem 22.
Bin Xia
Minh Trien Pham
trienpm@vnu.edu.vn
Y. Zhang
Chang Seop Koh
2017-06-10T11:54:35Z
2017-12-07T06:51:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2490
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2490
2017-06-10T11:54:35Z
AES datapath optimization strategies for low-power low-energy multi-security-level Internet-of-Thing applications
Connected devices are getting attention because of the lack of security mechanisms in current Internet-of-Thing (IoT) products. The security can be enhanced by using standardized and proven-secure block ciphers as Advanced Encryption Standard (AES) for data encryption and authentication. However, these security functions take a large amount of processing power and power/energy consumption. In this paper, we present our hardware optimization strategies for Advanced Encryption Standard (AES) for high speed, ultra-low power, ultra-low energy IoT applications with multiple levels of security. Our design supports multiple security levels through different key sizes, power and energy optimization for both datapath and
key expansion. The estimated power results show that our
implementation may achieve an energy per bit comparable with
the lightweight standardized algorithm PRESENT of less than
1pJ/bit at 10MHz at 0.6V with throughput of 28Mbps in ST
FDSOI 28nm technology. In terms of security evaluation, our
proposed datapath, 32-bit key out of 128 bits cannot be revealed by Correlation Power Analysis (CPA) attack using less than 20 thousand traces.
Duy Hieu Bui
hieubd@vnu.edu.vn
Diego Puschini
diego.puschini@cea.fr
Simone Bacles-Min
Simone.BACLES-MIN@cea.fr
Edith Beigne
edith.beigne@cea.fr
Xuan Tu Tran
tutx@vnu.edu.vn
2017-06-10T11:42:34Z
2017-06-10T11:42:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2473
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2473
2017-06-10T11:42:34Z
Bisimilarity for paraconsistent description logics
We introduce comparisons w.r.t. information between interpretations in paraconsistent description logics and use them to define bisimilarity for such logics. This notion is useful for concept learning in description logics when inconsistencies occur. We give preservation results and the Hennessy-Milner property for comparisons w.r.t. information in paraconsistent description logics. As consequences, we obtain also invariance results and the Hennessy-Milner property for bisimilarity in paraconsistent description logics.
Linh Anh Nguyen
Thi Hong Khanh Nguyen
Ngoc Thanh Nguyen
Quang Thuy Ha
thuyhq@vnu.edu.vn
Ngoc Thanh Nguyen
Manuel Núñez
Bogdan Trawiński
2017-06-10T11:39:14Z
2017-06-10T11:39:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2481
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2481
2017-06-10T11:39:14Z
A formal approach to checking consistency in software refactoring
In software development, refactoring is a process that improves the system internal structure without altering its external behavior. Applying design patterns, which are common reusable solutions of several kinds of problems is widely adopted. This technique, however, raises a challenging issue that after applying design patterns the software system may not preserve some certain behavioral properties. This paper proposes a new approach to checking consistency between original software system and its evolution at both design and implementation phases. First, we formalize elements of software designs and programs. Methods, based on these formalizations, are proposed for verifying the design and implementation of the system. Finally, the paper presents a case study of Adaptive Road Traffic Control system to illustrate the proposed approach in detail.
Hong Anh Le
anhfit@gmail.com
Thi Huong Dao
Ninh Thuan Truong
thuantn@vnu.edu.vn
2017-06-10T11:32:27Z
2017-06-10T11:32:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2472
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2472
2017-06-10T11:32:27Z
Sieve-based coreference resolution enhances semi-supervised learning model for chemical-induced disease relation extraction
The BioCreative V chemical-disease relation (CDR) track was proposed to accelerate progress of text mining in facilitating integrative understanding ofchemical substances, diseases and their relations. In this article, we describe an extension of the UET-CAM system for mining chemical-disease relations from text data, of which performance was ranked 4th among 18 participating corresponding systems by the BioCreative CDR track committee. In Disease Named Entity Recognition and Normalization (DNER) phase, our system employs joint learning with a perceptron-based named entity recognizer (NER) and a back-off model with Semantic Supervised Indexing (SSI) and Skip-gram for named entity normalization (NEN). Crucially, for solving the chemical-induced disease (CID) sub-task, we propose a pipeline that includes a coreference resolution module and a SVM intra-sentence relations extraction model. The former module utilizes a multi-pass sieve to identify inter-sentence references for entities while the latter is trained on both the CDR data and our silverCID corpus with a rich feature set. SilverCID is the silver standard corpus contains more than 50 thousands sentences which are automatically built based on the CTD database in order to provide evidence for the CID relation extraction. We critically evaluated our method on the CDR test set in order to clarify the contribution of our system components. Results show an F1 of 82.44 for the DNER task, and a best performance of F1 58.90 on the CID task. The comparisons also demonstrate the significant contribution of the multi-pass sieve coreference resolution method and the silverCID corpus.
Hoang Quynh Le
lhquynh@gmail.com
Mai Vu Tran
vutm@vnu.edu.vn
Thanh Hai Dang
hai.dang@vnu.edu.vn
Quang Thuy Ha
thuyhq@vnu.edu.vn
Nigel Collier
2017-06-09T11:37:33Z
2017-06-09T11:37:33Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2485
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2485
2017-06-09T11:37:33Z
Second-order optimization based adaptive PARAFAC decomposition of three-way tensors
A fast adaptive parallel factor (PARAFAC) decomposition algorithm is proposed for a class of third-order tensors that have one dimension growing linearly with time. It is based on an alternating least squares approach in conjunction with a Newton-type optimization technique. By preserving the Khatri-Rao product and exploiting the the reduced-rank update structure of the estimated subspace at each time instant, the algorithm achieves linear complexity and superior convergence performance. A modified version of the algorithm is also proposed to deal with the non-negative constraint. In addition, parallel implementation issues are investigated. Finally, the performance of the algorithm is numerically studied and compared to several state-of-the-art algorithms.
Viet Dung Nguyen
nvdung@vnu.edu.vn
Karim Abed-Meraim
karim.abed-meraim@univ-orleans.fr
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2017-06-09T11:34:48Z
2017-06-09T11:34:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2484
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2484
2017-06-09T11:34:48Z
Generalized minimum noise subspace for array processing
Based on the minimum noise subspace (MNS) method previously introduced in the context of blind channel identification, generalized minimum noise subspace (GMNS) is proposed in this paper for array processing that generalizes MNS with respect to the availability of only a fixed number of parallel computing units. Different batch and adaptive algorithms are then introduced for fast and parallel computation of signal (principal) and noise (minor) subspaces. The computational complexity of GMNS and its related estimation accuracy are investigated by simulated experiments and a real-life experiment in radio astronomy. It is shown that GMNS represents an excellent tradeoff between the computational gain and the subspace estimation accuracy, as compared to several standard subspace methods.
Viet Dung Nguyen
nvdung@vnu.edu.vn
Karim Abed-Meraim
karim.abed-meraim@univ-orleans.fr
Linh Trung Nguyen
linhtrung@vnu.edu.vn
Rodolphe Weber
weberrod@gmail.com
2017-06-09T11:26:58Z
2017-06-09T11:26:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2480
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2480
2017-06-09T11:26:58Z
Development of a Real Time Supported Program for Motorbike Drivers Using Smartphone Built-in Sensors
Using mobile phones during traffic progress is one of the main causes traffic accidents because drivers do not focus on driving, they try to listen phone calls or to text some messages... Most of research work has focused to car driving. However, using motorbike is very popular in some developing countries such as Vietnam, India, etc. Up to now, there are just a few works, which focus to motorbike driving with obvious limitations. Thus, in this research, we proposed a complete solution for bikers who own a smartphone. Our work exploits the information from built-in sensors in Android smartphone. A complete scheme for motorbike driving is proposed. In this scheme, the user state is detected by improving the current Google activity recognition API. If the state is “On vehicle”, the phone automatically switches to silent mode and send to the caller an SMS. Our work provides a mechanism to receive the calls from VIP contacts and urgent calls. The phone would switch back to the normal mode if the state is not "On vehicle". Furthermore, it sends the accident location to the relatives when an accident occurs to save their lives automatically. The application was tested carefully and it can be used to protect the lives of motorbike drivers.
Pham Van Thanh
phamvanthanh1209@gmail.com
Tien-Anh Nguyen
anhnt007@gmail.com
Nghia Tran Duc
nghiatranduc1986@yahoo.com
Nguyen Duc Anh
anhnd@gmail.com
Tran Duc-Tan
tantd@vnu.edu.vn
2017-06-09T11:26:45Z
2018-01-10T08:00:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2479
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2479
2017-06-09T11:26:45Z
Deterministic compressive sampling for high-quality image reconstruction of ultrasound tomography
Background
A well-known diagnostic imaging modality, termed ultrasound tomography, was quickly developed for the detection of very small tumors whose sizes are smaller than the wavelength of the incident pressure wave without ionizing radiation, compared to the current gold-standard X-ray mammography. Based on inverse scattering technique, ultrasound tomography uses some material properties such as sound contrast or attenuation to detect small targets. The Distorted Born Iterative Method (DBIM) based on first-order Born approximation is an efficient diffraction tomography approach. One of the challenges for a high quality reconstruction is to obtain many measurements from the number of transmitters and receivers. Given the fact that biomedical images are often sparse, the compressed sensing (CS) technique could be therefore effectively applied to ultrasound tomography by reducing the number of transmitters and receivers, while maintaining a high quality of image reconstruction.
Methods
There are currently several work on CS that dispose randomly distributed locations for the measurement system. However, this random configuration is relatively difficult to implement in practice. Instead of it, we should adopt a methodology that helps determine the locations of measurement devices in a deterministic way. For this, we develop the novel DCS-DBIM algorithm that is highly applicable in practice. Inspired of the exploitation of the deterministic compressed sensing technique (DCS) introduced by the authors few years ago with the image reconstruction process implemented using l 1 regularization.
Results
Simulation results of the proposed approach have demonstrated its high performance, with the normalized error approximately 90% reduced, compared to the conventional approach, this new approach can save half of number of measurements and only uses two iterations. Universal image quality index is also evaluated in order to prove the efficiency of the proposed approach.
Conclusions
Numerical simulation results indicate that CS and DCS techniques offer equivalent image reconstruction quality with simpler practical implementation. It would be a very promising approach in practical applications of modern biomedical imaging technology.
Huy Tran Quang
tranquanghuysp2@gmail.com
Tue Huynh Huu
hhtue@hcmiu.edu.vn
Long Ton That
ttlong@hcmiu.edu.vn
Duc Tan Tran
tantd@vnu.edu.vn
2017-06-03T10:07:05Z
2017-08-08T14:50:22Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2468
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2468
2017-06-03T10:07:05Z
AXI-NoC: High-Performance Adaptation Unit for ARM Processors in Network-on-Chip Architectures
The increasing demand on scalability and reusability of system-on-chip design as well as the decoupling between computation and communication has motivated the growth of the Network-on-Chip (NoC) paradigm in the last decade. In NoC-based systems, the computational resources (i.e. IPs) communicate with each other using a network infrastructure. Many works have focused on the development of NoC architectures and routing mechanisms, while the interfacing between network and associated IPs also needs to be considered. In this paper, we present a novel efficient AXI (AMBA eXtensible Interface) compliant network adapter for NoC architectures, which is named an AXI-NoC adapter. The proposed network adapter achieves high communication throughput of 20.8Gbits/s and consumes 4.14mW at the operating frequency of 650MHz. It has a low area footprint (952 gates, approximate to 2,793um2 with CMOS 45nm technology) thanks to its effective hybrid micro-architectures and with zero latency thanks to the proposed mux-selection method.
Xuan Tu Tran
tutx@vnu.edu.vn
Tung Nguyen
Hai Phong Phan
haiphongphan@gmail.com
Duy Hieu Bui
hieubd@vnu.edu.vn
2017-03-06T04:23:11Z
2019-01-14T02:54:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2417
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2417
2017-03-06T04:23:11Z
Nonlinear dynamic response and vibration of sandwich composite plates with negative Poisson’s ratio in auxetic honeycombs
Auxetic cellular solids in the forms of honeycombs under blast load have great potential in a diverse range of applications, including core material in sandwich plates composite components. Based on Reddy’s first-order shear deformation plate theory, this paper presents an analysis of the nonlinear dynamic response and vibration of sandwich plates with negative Poisson’s ratio in auxetic honeycombes on elastic foundations subjected to blast and mechanical loads. A three-layer sandwich plate is considered discretized in the thickness direction by using analytical methods (stress function method, approximate solution), Galerkin method, and fourth-order Runge-Kutta method. The results show the effects of geometrical parameters, material properties, mechanical and elastic foundations on the nonlinear dynamic response, and vibration of sandwich plates.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
2017-02-06T15:50:58Z
2017-02-06T15:50:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2409
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2409
2017-02-06T15:50:58Z
The nonlinear dynamic and vibration of the S-FGM shallow spherical shells resting on an elastic foundations including temperature effects
This study investigated the nonlinear dynamic and vibration of the S-FGM shallow spherical shells with ceramic-metal-ceramic layers (in two cases: non-axisymmetric and axisymmetric shells) on an elastic foundations (EF) with different types of boundary conditions in thermal environment. Material compositions of the shell are graded in the thickness direction according to a sigmoid law distribution in terms of the volume fractions of the constituents. The governing equations are derived by using the classical shell theory and Pasternak's two parameters EF. The motion equations of dynamic analysis are determined due to Galerkin method and the obtained equation is numerically solved by using Runge–Kutta method. The approximate solutions are assumed to satisfy the different types of boundary conditions. The criterion suggested by Budiansky–Roth is applied to determine the dynamic critical buckling load and the nonlinear dynamic response is found by numerical form. In numerical results, the effects of geometrical parameters, material properties, the EF, boundary conditions, mechanical loads and temperature on the nonlinear dynamic and vibration stability of the shells are investigated.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Dinh Quang Vu
quangvd2510@gmail.com
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
2017-01-10T03:51:49Z
2017-01-10T03:51:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2396
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2396
2017-01-10T03:51:49Z
Towards the implenmentation of an assessment-centered blended learning framework at the course level: a case study in a Vietnamese national university
Viet Anh Nguyen
vietanh@vnu.edu.vn
2017-01-08T08:08:24Z
2017-01-08T08:08:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2398
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2398
2017-01-08T08:08:24Z
Ion Wind Generator Utilizing Bipolar Discharge in Parallel Pin Geometry
Thanh Van Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Terebessy Tibor
tibor.terebessy@clearviewtraffic.com
Thanh Tung Bui
tungbt@vnu.edu.vn
2017-01-08T08:07:48Z
2017-01-08T08:07:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2397
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2397
2017-01-08T08:07:48Z
Bipolar corona assisted jet flow for fluidic application
Van Thanh Dau
dauv@sc.sumitomo-chem.co.jp
Thien Xuan Dinh
thien@cfd.ritsumei.ac.jp
Thanh Tung Bui
tungbt@vnu.edu.vn
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
2017-01-06T07:07:58Z
2017-01-06T07:07:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2395
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2395
2017-01-06T07:07:58Z
A peer assessment approach to project based blended learning course in a Vietnamese higher education
This article presents a model using peer assessment to evaluate students taking part in blended - learning courses (BL). In these courses, teaching activities are carried out in the form of traditional face-to-face (F2F) and learning activities are performed online via the learning management system Moodle. In the model, the topics of courses are built as a set of projects and case studies for the attending students divided into groups. The result of the implementation of projects is evaluated and ranked by all course participants and is one of the course evaluation criteria for lecturers. To assess learners more precisely, we propose a multi-phase assessment model in evaluating all groups and the group members. The result of each student in the group based on himself evaluation, evaluations of the team members, the tearcher and all students in the course. There are 107 students, who participated in the course entitled ``web application development'', are divided into 20 groups conducting the course in the field of information technology is deployed in the form of blended learning through peer assessment. The results of student's feedback suggested that the usage of various peer assessment created positive learning effectiveness and more interesting learning attitude for students. The survey was conducted with the students through the questionnaire, each question with scale 5-point Likert scale that ranged from 1 (very unsatisfied) to 5 (very statisfied) to investigate the factors: Collaboration, Assessment, Technology showed that students were satisfied with our approach.
Viet Anh Nguyen
vietanh@vnu.edu.vn
2017-01-05T02:33:16Z
2017-01-05T02:33:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2285
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2285
2017-01-05T02:33:16Z
On the nonlinear stability of eccentrically stiffened functionally graded annular spherical segment shells
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Huy Bich Dao
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
2017-01-05T02:31:33Z
2017-01-05T02:31:33Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2282
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2282
2017-01-05T02:31:33Z
On the linear stability of eccentrically stiffened functionally graded annular spherical shell on elastic foundations
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Hong Cong Pham
Huy Bich Dao
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2017-01-04T13:42:09Z
2017-01-04T13:42:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2389
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2389
2017-01-04T13:42:09Z
A Comprehensive Lighting Configuration for Efficient Indoor
Visible Light Communication Networks
Design of an efcient indoor visible light communication (VLC) system requires careful considerations on both illumination and
communication aspects. Besides fundamental factors such as received power and signal-to-noise ratio (SNR) level, studies on
mobility scenarios and link switching process must be done in order to achieve good communication link quality in such systems.
In this paper, a comprehensive lighting confguration for efcient indoor VLC systems for supporting mobility and link switching
with constraint on illumination, received power, and SNR is proposed. Full connectivity in mobility scenarios is required to make
the system more practical. However, different from other literatures, our work highlights the signifcance of recognizing the main
influences of feld of view angle on the connectivity performance in the practical indoor scenarios. A flexible link switching initiation
algorithm based on the consideration of relative received power with adaptive hysteresis margin is demonstrated. In this regard, we
investigate the effect of the overlap area between two light sources with respect to the point view of the receiver on the link switching
performance. Te simulation results show that an indoor VLC system with sufcient illumination level and high communication
link quality as well as full mobility and support link switching can be achieved using our approach.
Thai-Chien Bui
uetchien@gmail.com
Suwit Kiravittaya
suwitki@gmail.com
Keattisak Sripimanwat
keattisak.sripimanwat@gmail.com
Nam Hoang Nguyen
hoangnn@vnu.edu.vn
2017-01-04T07:21:16Z
2017-01-04T07:21:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2246
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2246
2017-01-04T07:21:16Z
Fluidic lens by using thermal lens effect
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Yoshihiko Akamine
Kazuyoshi Fushinobu
2017-01-04T07:20:40Z
2017-01-04T07:20:40Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2245
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2245
2017-01-04T07:20:40Z
Finite-Difference Time Domain Analysis of Ultrashort Pulse Laser Light Propagation under Nonlinear Coupling
Yoshihiko AKAMINE
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Kazuyoshi FUSHINOBU
2017-01-04T07:20:02Z
2017-01-04T07:20:02Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2244
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2244
2017-01-04T07:20:02Z
Generation of Bessel Beam by Using Thermal Lens
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Yoshihiko AKAMINE
Naoki IWATANI
Masamichi KOHNO
Kazuyoshi FUSHINOBU
2017-01-04T07:19:27Z
2017-01-04T07:19:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2243
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2243
2017-01-04T07:19:27Z
Fundamental investigation of fluidic optical devices: Transmission characteristics of laser beam in 1D temperature field of liquid medium
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Kazuyoshi Fushinobu
Ken Okazaki
2017-01-04T07:18:57Z
2017-01-04T07:18:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2239
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2239
2017-01-04T07:18:57Z
Optimization of near-infrared laser drilling of silicon carbide under water
Naoki Iwatani
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Kazuyoshi Fushinobu
2017-01-04T07:18:24Z
2017-01-04T07:18:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2222
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2222
2017-01-04T07:18:24Z
Influence of natural convection on beam propagation in fluidic optical device
Byunggi Kim
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Kazuyoshi Fushinobu
2017-01-04T03:26:58Z
2017-01-04T03:26:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2221
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2221
2017-01-04T03:26:58Z
On the thermal buckling analysis of functionally graded plates with internal defects using extended isogeometric analysis
Tiantang Yu
Quoc Tinh Bui
Shuohui Yin
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
C.T. Wu
Van Thom Do
Satoyuki Tanaka
2017-01-04T03:25:53Z
2017-01-04T03:25:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2220
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2220
2017-01-04T03:25:53Z
Bessel beam laser-scribing of thin film silicon solar cells by ns pulsed laser
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Ryoichi IIDA
Byunggi KIM
Isao SATOH
Kazuyoshi FUSHINOBU
2016-12-31T14:13:53Z
2016-12-31T14:13:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2219
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2219
2016-12-31T14:13:53Z
On the high temperature mechanical behaviors analysis of heated functionally graded plates using FEM and a new third-order shear deformation plate theory
Quoc Tinh Bui
Van Thom Do
Lan Hoang That Ton
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Satoyuki Tanaka
Dat Tien Pham
Thien-An Nguyen-Van
Tiantang Yu
Sohichi Hirose
2016-12-31T14:12:06Z
2016-12-31T14:12:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2218
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2218
2016-12-31T14:12:06Z
Hybrid phase field simulation of dynamic crack propagation in functionally graded glass-filled epoxy
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Quoc Tinh Bui
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Kazuyoshi Fushinobu
2016-12-31T14:09:17Z
2016-12-31T14:09:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2216
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2216
2016-12-31T14:09:17Z
Mechanism of TCO thin film removal process using near-infrared ns pulse laser: Plasma shielding effect on irradiation direction
Byunggi Kim
Ryoichi Iida
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Kazuyoshi Fushinobu
2016-12-31T14:08:09Z
2016-12-31T14:08:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2215
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2215
2016-12-31T14:08:09Z
Enhanced nodal gradient 3D consecutive-interpolation tetrahedral element (CTH4) for heat transfer analysis
Minh Ngoc Nguyen
Quoc Tinh Bui
Thien Tich Truong
Ngoc Anh Trinh
Indra Vir Singh
Tiantang Yu
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
2016-12-31T14:06:47Z
2016-12-31T14:06:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2214
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2214
2016-12-31T14:06:47Z
Numerical modeling of 3-D inclusions and voids by a novel adaptive XFEM
Zhen Wang
Tiantang Yu
Quoc Tinh Bui
Ngoc Anh Trinh
Thi Hien Luong Nguyen
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
2016-12-31T14:05:15Z
2016-12-31T14:05:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2213
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2213
2016-12-31T14:05:15Z
Nanosecond pulse laser scribing using Bessel beam for single shot removal of transparent conductive oxide thin film
Byunggi Kim
Ryoichi Iida
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Kazuyoshi Fushinobu
2016-12-31T10:21:25Z
2016-12-31T10:21:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2211
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2211
2016-12-31T10:21:25Z
Detection of magnetic nanoparticles using simple AMR sensors in Wheatstone bridge
Wheatstone bridges incorporating a serially connected ensemble of simple AMR elements of Ni80Fe20 film were produced, targeting an application of a pinned magnetic field along the sensing magnetoresistor length. For the optimal dimension, the magnetoresistive element with length l 4 µm, width 150 µm and thickness 5 nm still shows a rather modest AMR ratio (of about 0.85% only). However, the resulting bridge exhibits a sensitivity as large as 2.15 mV/Oe. This is according to a standard sensitivity of 1.80 mV/V/Oe and a detection limit better than 10_6 emu, which is almost doubled with respect to that in the typical commercial AMR devices and is comparable with Permalloy based PHE sensor. This is suitable to detect the superparamagnetic fluid of 50 nm-Fe3O4-chitosan.
Khac Quynh Le
Dinh Tu Bui
buidinhtu@vnu.edu.vn
Xuan Dang Dang
Quoc Viet Dong
Thi Hien Le
lehien@vnu.edu.vn
Thi Huong Giang Do
giangdth@vnu.edu.vn
Huu Duc Nguyen
ducnh@vnu.edu.vn
2016-12-31T10:19:45Z
2016-12-31T10:19:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2207
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2207
2016-12-31T10:19:45Z
Nonlinear response of a shear deformable SFGM shallow spherical shell with ceramic-metalceramic layers resting on an elastic foundation in a thermal environment
This article presents an analytical approach to investigate the nonlinear stability of thick, functionally graded material (FGM) shallow spherical shells resting on elastic foundations, subjected to uniform external pressure and exposed to thermal environments. Material properties are assumed to be temperature dependent and graded in the thickness direction according to a Sigmoid power law distribution (S-FGM) in terms of the volume fractions of constituents. Using the first-order shear deformation theory and the Galerkin method, the effects of materials, geometry, elastic foundation parameters, and temperature on the nonlinear response of the thick S-FGM shells are analyzed and discussed in detail.
Thi Thuy Anh Vu
vuanhthuy206@gmail.com
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-12-31T10:13:42Z
2018-01-05T09:58:56Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2351
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2351
2016-12-31T10:13:42Z
Distance magnetic nanoparticle detection using a magnetoelectric sensor for clinical interventions
Distance magnetic nanoparticle detections were investigated by using a magnetoelectric based magnetic sensor with a long type bilayer Metglas/PZT laminate composite. In homogeneous magnetic fields, the sensor exhibits a sensitivity of 307.4 mV/Oe, which is possible for a detection limit of 2.7×10−7 emu. This sensor can detect an amount of 0.31 µg of the superparamagnetic Fe3O4-chitosan fluid at 2 mm height above the sensor surface. To detect a spot with magnetic nanoparticles at a distance of about 7.6 mm, it should contain at least 50 µg of iron oxide. This approach is able to develop for local detection of magnetic nanoparticles at a depth of centimeters in the body during clinical interventions
Thi Huong Giang Do
giangdth@vnu.edu.vn
Huu Duc Nguyen
ducnh@vnu.edu.vn
Xuan Dang Dang
Xuan Toan Nguyen
Van Tuan Nguyen
Anh Tuan Phung
2016-12-29T12:50:43Z
2016-12-29T12:50:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2314
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2314
2016-12-29T12:50:43Z
Piezo-resistive and thermo-resistance effects of highly-aligned CNT based macrostructures
Thanh Van Dau
dauv@sc.sumitomo-chem.co.jp
Canh-Dung Tran
Canh-Dung.Tran@usq.edu.au
Thanh Tung Bui
tungbt@vnu.edu.vn
V. D. X. Nguyen
VDXNguyen@gmail.com
Xuan Thien Dinh
thien@cfd.ritsumei.ac.jp
2016-12-29T12:09:15Z
2016-12-29T12:09:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2172
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2172
2016-12-29T12:09:15Z
Design of a Front-End for Satellite Receiver
This paper focuses on the design and implementation of a front-end for a Vinasat satellite receiver with auto-searching mechanism and auto-tracking satellite. The front-end consists of a C-band low-noise block down-converter and a L-band receiver. The receiver is designed to meet the requirements about wide-band, high sensitivity, large dynamic range, low noise figure. To reduce noise figure and increase bandwidth, the C-band low-noise amplifier is designed using T-type of matching network with negative feedback and the L-band LNA is designed using cascoded techniques. The local oscillator uses a voltage controlled oscillator combine phase locked loop to reduce the phase noise and select channels. The front-end has successfully been designed and fabricated with parameters: Input frequency is C-band; sensitivity is greater than -130 dBm for C-band receiver and is greater than -110dBm for L-band receiver; output signals are AM/FM demodulation, I/Q demodulation, baseband signals.
Van Hoi Tran
tranvanhoi@vov.org.vn
Thi Lanh Ngo
ngothilanh@vov.org.vn
Xuan Truong Nguyen
nguyenxuantruong@vov.org.vn
Huu Duc Nguyen
ducnh@vnu.edu.vn
Duong Bach Gia
duongbg@vnu.edu.vn
2016-12-27T07:27:52Z
2016-12-27T07:27:52Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2268
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2268
2016-12-27T07:27:52Z
DNA-magnetic bead detection using disposable cards and the anisotropic magnetoresistive sensor
A disposable card incorporating specific DNA probes targeting the 16 S rRNA gene of
Streptococcus suis was developed for magnetically labeled target DNA detection. A singlestranded
target DNA was hybridized with the DNA probe on the SPA/APTES/PDMS/Si asprepared
card, which was subsequently magnetically labeled with superparamagnetic beads for
detection using an anisotropic magnetoresistive (AMR) sensor. An almost linear response
between the output signal of the AMR sensor and amount of single-stranded target DNA varied
from 4.5 to 18 pmol was identified. From the sensor output signal response towards the mass of magnetic beads which were directly immobilized on the disposable card surface, the limit of detection was estimated about 312 ng ferrites, which corresponds to 3.8 μemu. In comparison with DNA detection by conventional biosensor based on magnetic bead labeling, disposable cards are featured with higher efficiency and performances, ease of use and less running cost with respects to consumables for biosensor in biomedical analysis systems operating with immobilized bioreceptor
Thi Hien Le
lehien@vnu.edu.vn
Khanh Quynh Le
Thi Huyen Vu
Dinh Tu Bui
buidinhtu@vnu.edu.vn
Phuong DM
Nhung PH
Thi Huong Giang Do
giangdth@vnu.edu.vn
Huu Duc Nguyen
ducnh@vnu.edu.vn
2016-12-27T07:20:55Z
2016-12-27T07:20:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2116
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2116
2016-12-27T07:20:55Z
Online Discovery of Heart Rate Variablity Patterns in Mobile Healthcare Services
Thi Hong Nhan Vu
Namkyu Park
Yang Koo Lee
Yongmi Lee
Yong Jun Lee
Ho Ryu Keun
2016-12-25T17:09:51Z
2016-12-25T17:09:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2173
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2173
2016-12-25T17:09:51Z
Design and Fabrication of Rectifying Antenna Circuit for
Wireless Power Transmission System Operating at ISM Band
This paper introduces an overview of a rectifying antenna (rectenna) circuit topology for microwave power transmission system. Specially, a rectenna
based on a microstrip patch antenna and a microwave double voltage rectifier
at 2.45GHz were designed and fabricated. The antenna’s return loss is
achieved of -15 dB at 2.45GHz. The microwave to DC conversion efficiency
of the rectenna was measured as 71.5% with 22 dBm input power and 810
load. The design and simulated results were carried out by the microwave
engineering professional design software, known as ADS2009 package. All
design and simulation results will be reported.
Chuc Doan Huu
chucdh@hpu.edu.vn
Duong Bach Gia
duongbg@vnu.edu.vn
2016-12-23T09:06:15Z
2016-12-23T09:06:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2117
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2117
2016-12-23T09:06:15Z
A Method for Predicting Location of Mobile User for Location-based Services System
Thi Hong Nhan Vu
vthnhan@vnu.edu.vn
Keun Ho Ryu
Namkyu Park
2016-12-23T08:39:35Z
2016-12-23T08:39:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2288
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2288
2016-12-23T08:39:35Z
Nonlinear axisymmetric response of FGM shallow spherical shells on elastic foundations under uniform external pressure and temperature
Based on the classical shell theory taking into account geometrical nonlinearity, initial geometrical imperfection and Pasternak type elastic foundation, the nonlinear axisymmetric response of shallow spherical FGM shells under mechanical, thermal loads and different boundary conditions is considered in this paper. Using the Bubnov–Galerkin method and stress function, obtained results show effects of elastic foundations, external pressure, temperature, material and geometrical properties on the nonlinear buckling and postbuckling of the shells. The snap-through behaviors of the FGM spherical shallow shells on elastic foundations also are analyzed carefully in this paper. Some results were compared with the ones of other authors.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Hong Cong Pham
2016-12-23T04:08:01Z
2016-12-23T04:09:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2289
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2289
2016-12-23T04:08:01Z
The nonlinear stability of axisymmetric functionally graded material annular spherical shells under thermo-mechanical load
Thi Thuy Anh Vu
anhvutt@vnu.edu.vn
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-12-23T04:04:43Z
2016-12-23T04:04:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2294
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2294
2016-12-23T04:04:43Z
A PubMed-Wide Associational Study of Infectious Diseases
Igor Mokrousov
Vitali Sintchenko
Stephen Anthony
Xuan Hieu Phan
hieupx@vnu.edu.vn
Frank Lin
Enrico W. Coiera
2016-12-23T04:04:21Z
2016-12-23T04:04:21Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2296
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2296
2016-12-23T04:04:21Z
Web Search Clustering and Labeling with Hidden Topics
Cam Tu Nguyen
Xuan Hieu Phan
hieupx@vnu.edu.vn
Susumu Horiguchi
Thu Trang Nguyen
Quang Thuy Ha
thuyhq@vnu.edu.vn
2016-12-23T04:03:01Z
2016-12-23T04:03:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2302
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2302
2016-12-23T04:03:01Z
A new sentence reduction technique based on a decision tree model
Le Minh Nguyen
Xuan Hieu Phan
hieupx@vnu.edu.vn
Susumu Horiguchi
Akira Shimazu
2016-12-23T04:02:45Z
2016-12-23T04:02:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2303
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2303
2016-12-23T04:02:45Z
High-Performance Training of Conditional Random Fields for Large-scale Applications of Labeling Sequence Data
Xuan Hieu Phan
hieupx@vnu.edu.vn
Le Minh Nguyen
Yasushi Inoguchi
Susumu Horiguchi
2016-12-17T16:18:24Z
2016-12-17T16:19:56Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2174
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2174
2016-12-17T16:18:24Z
Design of aC-Band Low-Noise Block Front-end for Satellite Receivers
This paper describes the study, design and fabrication of a C-band low-noise block front-end for satellite receivers. The front-end consists of a low-noise amplifier, two band pass filters in C-band and L-band, a down-converter and an intermediate frequency amplifier. To reduce noise figure and increase bandwidth, the low-noise amplifier was designed using T-type of matching network with negative feedback. The down-converter used a voltage controlled oscillator with phase locked loop to reduce the phase noise. The front-end converts input signals from C-band (3.4 GHz-4.2 GHz) to the L-band (950 MHz-1750 MHz). The low-noise block has successfully been designed and fabricated with parameters: Overall gain is greater than 60 dB; the noise figure is less than 1dB; phase noise of local oscillator obtains-107.57dBc/Hz at 50KHz.
Hoi Tran Van
Truong Nguyen Xuan
Lanh Ngo Thi
Gia Duong Bach
duongbg@vnu.edu.vn
2016-12-17T16:16:03Z
2016-12-17T16:16:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2118
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2118
2016-12-17T16:16:03Z
Spatiotemporal Pattern Mining Technique for Location-based Service System
Thi Hong Nhan Vu
vthnhan@vnu.edu.vn
Jun Wook Lee
Keun Ho Ryu
2016-12-17T16:14:46Z
2016-12-17T16:15:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2115
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2115
2016-12-17T16:14:46Z
Dual-phase approach to improve prediction ò heart disease in mobile environment
Yang Koo Lee
Thi Hong Nhan Vu
vthnhan@vnu.edu.vn
Thanh Ha Le
ltha@vnu.edu.vn
2016-12-17T16:13:11Z
2016-12-17T16:13:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2114
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2114
2016-12-17T16:13:11Z
An Environmental Monitoring System for Managing Spatiotemporal Sensor Data over Sensor Networks
Su Wook Ha
Yang Koo Lee
Thi Hong Nhan Vu
vthnhan@vnu.edu.vn
Young Jin Jung
Ho Ryu Keun
2016-12-17T15:57:46Z
2016-12-17T15:57:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2078
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2078
2016-12-17T15:57:46Z
Flexible network reconstruction from relational databases with Cytoscape and CytoSQL
Kris Laukens
Jens Hollunder
Thanh Hai Dang
hai.dang@vnu.edu.vn
Geert De Jaeger
Martin Kuiper
Erwin Witters
Alain Verschoren
Koenraad Van Leemput
2016-12-17T15:56:42Z
2016-12-17T15:56:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2262
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2262
2016-12-17T15:56:42Z
Fluidic laser beam shaper by using thermal lens effect
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Yoshihiko Akamine
Kazuyoshi Fushinobu
2016-12-16T10:17:12Z
2016-12-16T10:17:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2098
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2098
2016-12-16T10:17:12Z
Control of whispering-gallery-mode spectrum from
erbium-doped silica microsphere lasers
High-power whispering-gallery modes (WGMs) emitted from microcavity lasers have attracted attention for many
applications, such as optical signal processing, spectroscopy, optical sensors, and large-bandwidth optical com-
munications. In this paper, we present a simple approach for controlling the outputWGMs of erbium-doped silica
microsphere lasers. With the presented scheme, accurate adjustment of the coupling gap between the collection
fiber taper and the spherical surface allows us to select different single modes of the microsphere laser or different
multimode configurations (also functions of the waist diameter of taper and the Er-doped concentration). The
nonlinear frequency shift of the microsphere cavity as a function of intracavity power has also been studied.
The high intensity and high sidemode suppression ratio of the obtained singleWGMare suitable for spectroscopy,
optical sensors, and communications. © 2013 Optical Society of America
Van Hoi Pham
Huy Bui
Thanh Son Pham
The Anh Nguyen
Thuy Van Nguyen
Huu Thang Le
Trung Ninh Bui
ninhbt@vnu.edu.vn
Van Phu Nguyen
Coisson Roberto
2016-12-16T08:30:05Z
2016-12-16T08:30:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2076
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2076
2016-12-16T08:30:05Z
Next generation functional proteomics in non-model plants: a survey on techniques and applications for the analysis of protein complexes and post-translational modifications
Noor Remmerie
Thomas De Vijlder
Kris Laukens
Thanh Hai Dang
hai.dang@vnu.edu.vn
Filip Lemiere
Inge Mertens
Dirk Valkenborg
Ronny Blust
Erwin Witters
2016-12-16T08:28:14Z
2016-12-16T08:28:14Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2074
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2074
2016-12-16T08:28:14Z
A manually curated network of the PML nuclear body interactome reveals an important role for PML-NBs in SUMOylation dynamics
Ellen Van Damme
Kris Laukens
Thanh Hai Dang
Xaveer Van Ostade
2016-12-16T08:26:46Z
2016-12-16T08:26:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2073
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2073
2016-12-16T08:26:46Z
Prediction of kinase-specific phosphorylation sites using conditional random fields
Thanh Hai Dang
hai.dang@vnu.edu.vn
Koenraad Van Leemput
Alain Verschoren
Kris Laukens
2016-12-16T08:17:06Z
2016-12-16T08:17:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2242
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2242
2016-12-16T08:17:06Z
Laser processing by using fluidic laser beam shaper
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Iwatani Naoki
Fushinobu Kazuyoshi
2016-12-16T08:16:10Z
2016-12-16T08:16:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2241
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2241
2016-12-16T08:16:10Z
A study of near-infrared nanosecond laser ablation of silicon carbide
Hong Duc Doan
doan.hd.amsl.eng@vnu.edu.vn
Iwatani Naoki
Fushinobu Kazuyoshi
2016-12-16T05:16:53Z
2016-12-16T05:16:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2254
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2254
2016-12-16T05:16:53Z
A robust transformation-based learning approach using ripple down rules for part-of-speech tagging
Quoc Dat Nguyen
Quoc Dai Nguyen
Duc Dang Pham
Bao Son Pham
sonpb@vnu.edu.vn
2016-12-15T10:20:05Z
2016-12-15T10:20:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2041
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2041
2016-12-15T10:20:05Z
On Optimization of Minimized Assumption Generation Method for Component-Based Software Verification
Ngoc Hung Pham
hungpn@vnu.edu.vn
Viet Ha Nguyen
hanv@vnu.edu.vn
Aoki Toshiaki
Katayama Takuya
2016-12-14T10:36:58Z
2016-12-14T10:36:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2075
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2075
2016-12-14T10:36:58Z
Use of structural DNA properties for the prediction of transcription-factor binding sites in Escherichia coli
Pieter Meysman
Thanh Hai Dang
hai.dang@vnu.edu.vn
Kris Laukens
Riet De Smet
Yan Wu
Kathleen Marchal
Kristof Engelen
2016-12-14T10:34:46Z
2016-12-14T10:34:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2040
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2040
2016-12-14T10:34:46Z
Modular Conformance Testing and Assume-Guarantee Verification for Evolving Component-Based Software
Ngoc Hung Pham
hungpn@vnu.edu.vn
aoki toshiaki
katayama takuya
2016-12-14T10:33:01Z
2016-12-14T10:33:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2039
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2039
2016-12-14T10:33:01Z
A Minimized Assumption Generation Method for Component-Based Software Verification
Ngoc Hung Pham
hungpn@vnu.edu.vn
Viet Ha Nguyen
hanv@vnu.edu.vn
Aoki Toshiaki
Katayama Takuya
2016-12-14T08:32:58Z
2016-12-14T08:32:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2006
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2006
2016-12-14T08:32:58Z
Fabrication of Graphene Quantum Dots Based Fluorescent Sensor for Detection of Clenbuterol
Thi Thanh Hop Tran
Mai Ha Hoang
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
Thi Lan Nguyen
Thi Nhung Hac
Van Chuong Dao
Van Thu Le
Nhu Hai Luong
Ngoc Dung Ta
Dai Lam Tran
Duc Nghia Nguyen
Trinh Tung Ngo
2016-12-14T08:26:05Z
2016-12-14T08:26:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1920
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1920
2016-12-14T08:26:05Z
Adaptive quarter-pel motion estimation and motion vector coding algorithm for the H. 264/AVC standard
We present an adaptive quarter-pel (Qpel) motion estimation (ME) method for H.264/AVC. Instead of applying Qpel ME to all macroblocks (MBs), the proposed method selectively performs Qpel ME in an MB level. In order to reduce the bit rate, we also propose a motion vector (MV) encoding technique that adaptively selects a different variable length coding (VLC) table according to the accuracy of the MV. Experimental results show that the proposed method can achieve about 3% average bit rate reduction.
Seung-Won Jung
Chun-Su Park
Thanh Ha Le
ltha@vnu.edu.vn
Sung-Jea Ko
2016-12-12T03:52:24Z
2016-12-12T03:52:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2005
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2005
2016-12-12T03:52:24Z
Synthesis of Gold Nanoparticles Capped with Quaterthiophene
for Transistor and Resistor Memory Devices
Mai Ha Hoang
Thanh Toan Dao
Thi Thu Trang Nguyen
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
Trinh Tung Ngo
2016-12-08T16:57:47Z
2016-12-08T16:59:05Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2194
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2194
2016-12-08T16:57:47Z
Influence of dual-frequency combination on the quality improvement of ultrasound tomography
The most useful feature of ultrasound tomography founded on the inverse scattering theory is that it can detect small structures below the wavelength of the pressure wave. A popular method introduced in ultrasound tomography is the Distorted Born Iterative Method (DBIM). Recently, the dual-frequency combination technique has been utilized to improve the reconstruction quality and increase the convergence rate of the DBIM. This method uses two frequencies, f_1 (low) and f_2 (high), to estimate the sound contrast in N_f1 and N_f2 iterations, respectively. However, the influence of these iteration parameters on the overall performance of the system is not yet known. In this paper, it is shown by using the simulation technique that if we do not pay attention to the choice of these parameters, the reconstruction quality might be worse than that using a single frequency. Furthermore, we focus on the best way to select the parameters in order to improve the reconstruction quality of ultrasound tomography. Given a fixed sum N iter of N_f1 and N_f2 , simulation results show that the best value of N_f1 is N_iter/2; this choice of parameters offers a normalized error that reduces by 67.6%, compared to the conventional DBIM using a single frequency.
Quang Huy Tran
huytq@gmail.com
Duc Tan Tran
tantd@vnu.edu.vn
Huu Tue Huynh
hhtue@hcmiu.edu.vn
That Long Ton
ttlong@hcmiu.edu.vn
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2016-12-08T03:40:11Z
2016-12-08T03:40:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2004
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2004
2016-12-08T03:40:11Z
Synthesis and Characterization of Polymeric Graphene-Quantum-Dots based Nanocomposites for Humidity Sensing
Graphene quantum dots (GQDs) were synthesized and incorporated with polyethylenedioxythiophene: poly(4-styrenesulfonate) (PEDOT:PSS) and carbon nanotube (CNT) to form a composite that can be used for humidity sensors. The 600 nm-thick composite films contained bulk heterojunctions of CNT/GQD and CNT/PEDOT:PSS. The sensors made from the composites responded well to humidity in a range from 60 to 80% at room temperature and atmospheric pressure. With a CNT content of 0.4 wt.% (GPC-1) to 0.8 wt.% (GPC-2) and 1.2 wt.% (GPC-3), the sensitivity of the humidity sensing devices based on CNT-doped graphene quantum dot-PEDOT:PSS composites was increased from 4.5% (GPC-1) to 9.0 % (GPC-1) and 11.0 % (GPC-2), respectively. The fast response time of the GPC sensors was about 20 s and it was much improved due to CNTs doping in the composites. The best value of the recovery time was found to be of 40 s, for the GPC composite film doped with 1.2 wt.% CNT content.
Minh Long Lam
Nang Dinh Nguyen
dinhnn@vnu.edu.vn
Quang Trung Tran
2016-12-06T04:43:39Z
2016-12-06T04:45:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2159
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2159
2016-12-06T04:43:39Z
Scholarometer: A Social Framework for Analyzing Impact across Disciplines
Jasleen Kaur
Thi Diep Hoang
diepht@vnu.edu.vn
2016-12-05T03:13:03Z
2016-12-05T03:13:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2071
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2071
2016-12-05T03:13:03Z
Building ancestral recombination graphs for whole genomes
Abstract— The Ancestral Recombination Graph (ARG) plays an important role in human population genetics.
Nevertheless, most current ARG inference algorithms are only applicable to small data sets due to their computational
burden. Margarita by Minichiello and Durbin [1] can handle larger data sets; however, it is still not feasible
at genome scale. We hereby propose a heuristic algorithm, called ARG4WG, to construct plausible ARGs from
thousands of whole chromosome samples, in which the so-called longest shared end, i.e. the longest match between
left or right ends of sequences, is used for recombination in the building process. This strategy allows ARG4WG to
significantly reduce the computational cost, by working hundreds to thousands times faster than Margarita.
ARG4WG leads to ARGs with fewer numbers of recombination events on real data sets. Margarita is slightly better
than ARG4WG in reconstructing tree topology on simulated data. The ARGs resulted from our algorithm also performed
reasonably well in an association study with 5560 haplotypes across a whole Chromosome 11 of the Gambia
dataset. These results indicate that ARG4WG is a good candidate for genome-wide association study from
large data sets.
Thao Nguyen
Sy Vinh Le
vinhls@vnu.edu.vn
Hai Ho
Si Quang Le
2016-12-05T03:08:54Z
2016-12-05T03:08:54Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2069
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2069
2016-12-05T03:08:54Z
Mechanisms of sex determination and transmission ratio distortion in Aedes aegypti
Background: More effective mosquito control strategies are urgently required due to the increasing prevalence of
insecticide resistance. The sterile insect technique (SIT) and the release of insects carrying a dominant lethal allele
(RIDL) are two proposed methods for environmentally-friendly, species-targeted population control. These methods
may be more suitable for developing countries if producers reduce the cost of rearing insects. The cost of control
programs could be reduced by producing all-male mosquito populations to circumvent the isolation of females
before release without reducing male mating competitiveness caused by transgenes.
Results: An RNAi construct targeting the RNA recognition motif of the Aedes aegypti transformer-2 (tra-2) gene does
not trigger female-to-male sex conversion as commonly observed among dipterous insects. Instead, homozygous
insects show greater mortality among m-chromosome-bearing sperm and mm zygotes, yielding up to 100 % males
in the subsequent generations. The performance of transgenic males was not significantly different to wild-type
males in narrow-cage competitive mating experiments.
Conclusion: Our data provide preliminary evidence that the knockdown of Ae. aegypti tra-2 gene expression causes
segregation distortion acting at the level of gametic function, which is reinforced by sex-specific zygotic lethality.
This finding could promote the development of new synthetic sex distorter systems for the production of genetic
sexing mosquito strains.
Keywords: Aedes aegypti, Sex determination, Transformer-2, Meiotic drive, Spermatogenesis, Culicinae
Phuc Hoang
kimhpoxford@gmail.com
Tze Min Teo
Thien Xuan Ho
Le Sy Vinh
vinhls@vnu.edu.vn
2016-12-05T02:52:35Z
2016-12-05T02:52:35Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1959
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1959
2016-12-05T02:52:35Z
Checking the Conformance between Models Based on Scenario Synchronization
Duc Hanh Dang
hanhdd@vnu.edu.vn
Anh Hoang Truong
hoangta@vnu.edu.vn
Martin Gogolla
2016-12-03T07:15:07Z
2016-12-03T07:15:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1922
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1922
2016-12-03T07:15:07Z
A new histogram modification based reversible data hiding algorithm considering the human visual system
Seung-Won Jung
Thanh Ha Le
ltha@vnu.edu.vn
Sung-Jea Ko
2016-12-03T07:08:44Z
2016-12-03T07:08:44Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1919
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1919
2016-12-03T07:08:44Z
An improved method to CABAC in the H. 264/AVC video compression standard
Thanh Ha Le
ltha@vnu.edu.vn
PARK Chun-Su
KO Sung-Jea
JUNG Seung-won
2016-12-03T07:01:10Z
2016-12-03T07:01:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2033
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/2033
2016-12-03T07:01:10Z
Reduced Reference Quality Metric for Synthesized Virtual Views in 3DTV
Multi-view video plus depth (MVD) has been widely used owing to its effectiveness in three-dimensional data representation. Using MVD, color videos with only a limited number of real viewpoints are compressed and transmitted along with captured or estimated depth videos. Because the synthesized views are generated from decoded real views, their original reference views do not exist at either the transmitter or receiver. Therefore, it is challenging to define an efficient metric to evaluate the quality of synthesized images. We propose a novel metric—the reduced-reference quality metric. First, the effects of depth distortion on the quality of synthesized images are analyzed. We then employ the high correlation between the local depth distortions and local color characteristics of the decoded depth and color images, respectively, to achieve an efficient depth quality metric for each real view. Finally, the objective quality metric of the synthesized views is obtained by combining all the depth quality metrics obtained from the decoded real views. The experimental results show that the proposed quality metric correlates very well with full reference image and video quality metrics.
Thanh Ha Le
ltha@vnu.edu.vn
Tung Long Vuong
Trieu Duong Dinh
duongdt@vnu.edu.vn
Seung-Won Jung
2016-12-03T06:58:01Z
2016-12-03T06:58:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1918
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1918
2016-12-03T06:58:01Z
Image segmentation based on modified graph-cut algorithm
Thanh Ha Le
ltha@vnu.edu.vn
Seung Won Jung
Kang Sun Choi
Sung Jea Ko
2016-12-03T06:55:46Z
2016-12-03T06:55:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1913
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1913
2016-12-03T06:55:46Z
Macroblock-level deblocking method to improve coding efficiency for H. 264/AVC
Thanh Ha Le
ltha@vnu.edu.vn
Seung-Won Jung
Chun-Su Park
Sung-Jea Ko
2016-12-03T06:50:20Z
2016-12-03T06:50:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1906
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1906
2016-12-03T06:50:20Z
Person identification system for future digital TV with intelligence
Min-Cheol Hwang
Thanh Ha Le
ltha@vnu.edu.vn
Nam-hyeong Kim
Chun-Su Park
Sung-Jea Ko
2016-12-01T02:18:03Z
2016-12-01T02:18:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1893
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1893
2016-12-01T02:18:03Z
Black box modelling the thermal behaviour of ihouse using auto regressive and moving average (ARMA) model
Modelling and simulation of the dynamic thermal behaviour of a building is important to test any proposed thermal comfort control system and strategy in the building. A simulation model can be obtained by using either the white box, grey box or black box modelling method. This research focuses on the usage of auto regressive and moving average (ARMA) model, a type of black box model that represents the dynamic thermal behaviour of iHouse testbed and uses real recorded data from the testbed and limited knowledge regarding the physical characteristics of the testbed. The performance of the ARMA model developed in this research is compared with the performance of House Thermal Simulator, a previously developed model, based on grey box modelling. Results obtained shows that ARMA model works better than House Thermal Simulator in some aspects.
Shamsul Faisal Mohd Hussein
Hoaison Nguyen
Shahrum Shah Abdullah
Yuto Lim
Yasuo Tan
2016-11-24T09:12:07Z
2016-11-24T09:12:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1905
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1905
2016-11-24T09:12:07Z
Improved Method for the Macroblock-Level Deblocking Scheme
Thanh Ha Le
ltha@vnu.edu.vn
Seung Won Jung
Seung Jin Baek
Sung Jea Ko
2016-11-24T09:10:40Z
2017-11-25T16:50:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1903
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1903
2016-11-24T09:10:40Z
A new depth image quality metric using a pair of color and depth images
Thanh Ha Le
ltha@vnu.edu.vn
Seung Won Jung
Chee Sun Won
2016-11-24T08:59:10Z
2016-11-24T08:59:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1900
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1900
2016-11-24T08:59:10Z
Formal analysis of imprecise system requirements with Event‑B
Formal analysis of functional properties of system requirements needs precise descriptions. However, the stakeholders sometimes describe the system with ambiguous, vague or fuzzy terms, hence formal frameworks for modeling and verifying such requirements are desirable. The Fuzzy If–Then rules have been used for imprecise requirements representation, but verifying their functional properties still needs new methods. In this paper, we propose a refinement-based modeling approach for specification and verification of such requirements. First, we introduce a representation of imprecise requirements in the set theory. Then we make use of Event-B refinement providing a set of translation rules from Fuzzy If–Then rules to Event-B notations. After that, we show how to verify both safety and eventuality properties with RODIN/EventB. Finally, we illustrate the proposed method on the example of Crane Controller.
Hong Anh Le
lehonganh@humg.edu.vn
Nakajima Shin
Ninh Thuan Truong
thuantn@vnu.edu.vn
2016-11-21T06:35:24Z
2016-11-21T06:35:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1897
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1897
2016-11-21T06:35:24Z
Mobile Online Activity Recognition System Based on Smartphone Sensors
In this paper, we propose an efficient and flexible framework for activity recognition based on smartphone sensors. We develop a mobile application that integrates data collection, training and recognition, feedback monitoring. This system allows user smartphones are randomly placed in any position and at any direction. In the proposed framework, a set of power based and frequency-based features is extracted from sensor data. Then, we deploy Random Forest, Naïve Bayes, K-Nearest Neighbor (KNN), Support Vector Ma-chine (SVM) classification algorithms for recognizing a set of user activities. Our framework dynamically takes into account real-time user feedbacks to increase the prediction accuracy. Our framework will be able to apply for intelligent mo-bile applications. A number of experiments were carried out to show the high ac-curacy of the proposed framework for detecting user activities when walking or driving a motorbike.
Dang Nhac Lu
Thu Trang Nguyen
Thi Thu Trang Ngo
Thi Hau Nguyen
nguyenhau@vnu.edu.vn
Ha Nam Nguyen
namnh@vnu.edu.vn
2016-11-14T02:39:11Z
2016-11-14T02:39:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1889
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1889
2016-11-14T02:39:11Z
A feature-word-topic model for image annotation and retrieval
Image annotation is a process of finding appropriate semantic labels for images in order to obtain a more convenient way for indexing and searching images on the Web. This article proposes a novel method for image annotation based on combining feature-word distributions, which map from visual space to word space, and word-topic distributions, which form a structure to capture label relationships for annotation. We refer to this type of model as Feature-Word-Topic models. The introduction of topics allows us to efficiently take word associations, such as {ocean, fish, coral} or {desert, sand, cactus}, into account for image annotation. Unlike previous topic-based methods, we do not consider topics as joint distributions of words and visual features, but as distributions of words only. Feature-word distributions are utilized to define weights in computation of topic distributions for annotation. By doing so, topic models in text mining can be applied directly in our method. Our Feature-word-topic model, which exploits Gaussian Mixtures for feature-word distributions, and probabilistic Latent Semantic Analysis (pLSA) for word-topic distributions, shows that our method is able to obtain promising results in image annotation and retrieval.
Cam Tu Nguyen
Natsuda Kaothanthong
Takeshi Tokuyama
Xuan Hieu Phan
hieupx@vnu.edu.vn
2016-11-14T02:37:56Z
2016-11-14T02:37:56Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1888
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1888
2016-11-14T02:37:56Z
A Hidden Topic-Based Framework toward Building Applications with Short Web Documents
This paper introduces a hidden topic-based framework for processing short and sparse documents (e.g., search result snippets, product descriptions, book/movie summaries, and advertising messages) on the Web. The framework focuses on solving two main challenges posed by these kinds of documents: 1) data sparseness and 2) synonyms/homonyms. The former leads to the lack of shared words and contexts among documents while the latter are big linguistic obstacles in natural language processing (NLP) and information retrieval (IR). The underlying idea of the framework is that common hidden topics discovered from large external data sets (universal data sets), when included, can make short documents less sparse and more topic-oriented. Furthermore, hidden topics from universal data sets help handle unseen data better. The proposed framework can also be applied for different natural languages and data domains. We carefully evaluated the framework by carrying out two experiments for two important online applications (Web search result classification and matching/ranking for contextual advertising) with large-scale universal data sets and we achieved significant results.
Xuan Hieu Phan
hieupx@vnu.edu.vn
Cam Tu Nguyen
Dieu Thu Le
Le Minh Nguyen
Susumu Horiguchi
Quang Thuy Ha
thuyhq@vnu.edu.vn
2016-10-31T02:29:49Z
2017-01-05T02:41:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1881
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1881
2016-10-31T02:29:49Z
Monitoring of Landslides in Mountainous Regions based on FEM Modelling and Rain Gauge Measurements
Vietnam is a country heavily influenced by climate change. The effect of climate change leads to a series of dangerous phenomena, such as landslides. Landslides occur not only in the mountainous province, but also in Delta provinces, where hundreds of landslides are reported annually in the North-Western provinces of Vietnam. These events have catastrophic impact to the community as well as the economy. In mountainous areas, the conditions for landslides to occur are met frequently, especially after heavy rains or geological activity, causing harm to the community as well as damaging or destroying much needed infrastructure and key transport routes. However, in Vietnam, investment in mountainous regions has been often lower than in urban areas. The meteorology monitoring and forecasting systems are ill equipped and overloaded, so they cannot deliver earlier and more accurate forecasts for complex weather events, unable to provide timely warnings. It can be seen that in countries that landslide often occur, researchers have been trying to develop low cost and efficient landslide detection system. This paper precisely addressed the problems mentioned, by designing and implementing an efficient and reliable Landslide Monitoring and Early Warning (LMnE) system based on the 3G/2G mobile communication system, and a rain gauge at the field site along with a carefully FEM (finite element method) simulation using the rain density information on the server. The system uses advanced processing algorithms combining obtained data at the central station.
Quoc Anh Gian
gianquocanh@gmail.com
Dinh Chinh Nguyen
chinhnd@vnu.edu.vn
Duc Nghia Tran
nghiatd@gmail.com
Duc Tan Tran
tantd@vnu.edu.vn
2016-09-03T06:24:08Z
2016-09-03T06:24:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1861
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1861
2016-09-03T06:24:08Z
Support Measures to Estimate the Reliability of Evolutionary Events Predicted by Reconciliation Methods
The genome content of extant species is derived from that of ancestral genomes, distorted by evolutionary events such as gene duplications, transfers and losses. Reconciliation methods aim at recovering such events and at localizing them in the species history, by comparing gene family trees to species trees. These methods play an important role in studying genome evolution as well as in inferring orthology relationships. A major issue with reconciliation methods is that the reliability of predicted evolutionary events may be questioned for various reasons: Firstly, there may be multiple equally optimal reconciliations for a given species tree–gene tree pair. Secondly, reconciliation methods can be misled by inaccurate gene or species trees. Thirdly, predicted events may fluctuate with method parameters such as the cost or rate of elementary events. For all of these reasons, confidence values for predicted evolutionary events are sorely needed. It was recently suggested that the frequency of each event in the set of all optimal reconciliations could be used as a support measure. We put this proposition to the test here and also consider a variant where the support measure is obtained by additionally accounting for suboptimal reconciliations. Experiments on simulated data show the relevance of event supports computed by both methods, while resorting to suboptimal sampling was shown to be more effective. Unfortunately, we also show that, unlike the majority-rule consensus tree for phylogenies, there is no guarantee that a single reconciliation can contain all events having above 50% support. In this paper, we detail how to rely on the reconciliation graph to efficiently identify the median reconciliation. Such median reconciliation can be found in polynomial time within the potentially exponential set of most parsimonious reconciliations.
Thi Hau Nguyen
nguyenhau@vnu.edu.vn
Vincent Berry
Vincent Ranwez
Celine Scornavacca
2016-09-03T03:01:29Z
2016-09-03T03:01:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1859
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1859
2016-09-03T03:01:29Z
Reconciliation and local gene tree rearrangement can be of mutual profit
Reconciliation methods compare gene trees and species trees to recover evolutionary events such as duplications, transfers and losses explaining the history and composition of genomes. It is well-known that gene trees inferred from molecular sequences can be partly erroneous due to incorrect sequence alignments as well as phylogenetic reconstruction artifacts such as long branch attraction. In practice, this leads reconciliation methods to overestimate the number of evolutionary events. Several methods have been proposed to circumvent this problem, by collapsing the unsupported edges and then resolving the obtained multifurcating nodes, or by directly rearranging the binary gene trees. Yet these methods have been defined for models of evolution accounting only for duplications and losses, i.e. can not be applied to handle prokaryotic gene families.
Results
We propose a reconciliation method accounting for gene duplications, losses and horizontal transfers, that specifically takes into account the uncertainties in gene trees by rearranging their weakly supported edges. Rearrangements are performed on edges having a low confidence value, and are accepted whenever they improve the reconciliation cost. We prove useful properties on the dynamic programming matrix used to compute reconciliations, which allows to speed-up the tree space exploration when rearrangements are generated by Nearest Neighbor Interchanges (NNI) edit operations. Experiments on synthetic data show that gene trees modified by such NNI rearrangements are closer to the correct simulated trees and lead to better event predictions on average. Experiments on real data demonstrate that the proposed method leads to a decrease in the reconciliation cost and the number of inferred events. Finally on a dataset of 30 k gene families, this reconciliation method shows a ranking of prokaryotic phyla by transfer rates identical to that proposed by a different approach dedicated to transfer detection [BMCBIOINF 11:324, 2010, PNAS 109(13):4962–4967, 2012].
Conclusions
Prokaryotic gene trees can now be reconciled with their species phylogeny while accounting for the uncertainty of the gene tree. More accurate and more precise reconciliations are obtained with respect to previous parsimony algorithms not accounting for such uncertainties [LNCS 6398:93–108, 2010, BIOINF 28(12): i283–i291, 2012].
A software implementing the method is freely available at http://www.atgc-montpellier.fr/Mowgli/.
Thi Hau Nguyen
Vincent Ranwez
Stéphanie Pointet
Anne-Muriel Chifolleau
Jean-Philippe Doyon
Vincent Berry
2016-08-30T08:25:08Z
2016-08-30T08:25:08Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1845
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1845
2016-08-30T08:25:08Z
A Super-Resolution Imaging Method Based on Dense Subpixel-Accurate Motion Fields
Vu Ha Le
halv@vnu.edu.vn
Guna Seetharaman
2016-08-30T08:24:13Z
2016-08-30T08:24:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1844
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1844
2016-08-30T08:24:13Z
Video-Assisted Global Positioning in Terrain Navigation with Known Landmarks
Guna Seetharaman
Vu Ha Le
halv@vnu.edu.vn
2016-07-11T12:47:41Z
2016-07-11T12:49:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1817
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1817
2016-07-11T12:47:41Z
Luminescence Properties of Ce/Tb/Sm Co-Doped Tellurite Glass for White Leds Application
In this work, the Ce/Tb/Sm doped glasses with the composition of TeO2-B2O3-ZnO-Na2O were synthesized by melt quenching process. The photoluminescence properties of glasses doped with Ce3+, Tb3+ and Sm3+ single, doubly and triply doped TeO2-B2O3-ZnO-Na2O (TBZN) were studied by mean of emission and excitation spectra. There was an overlap between Ce3+ emission and Tb3+, Sm3+ absorption in the wavelength range of 350–500 nm. Therefore, it was expected that an efficient energy transfer occurred from Ce3+ to Tb3+ and Ce3+ to Sm3+ ions. When excited by ultraviolet wavelengths the co-doped glasses emit a combination of blue, green and red orange forming white light.
Thi Hong Tran
hongtt@vnu.edu.vn
Duc Huyen Yen Pham
Xuan Quang Vu
Tien Dung Phan
2016-07-11T12:42:04Z
2016-07-11T12:42:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1812
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1812
2016-07-11T12:42:04Z
The MEH-PPV/YAG:Ce Hybrid Nanocomposite Material for Solution Processing Fabrication of Optoelectronic Device
The fabrication and the property investigation of the hybrid nanocomposite material made of poly[2-methoxy-5-(2′-ethyl-hexyloxy)-1,4-phenylene vinylene] (MEH-PPV) polymer and Y 3 Al 5 O 12 :Ce (YAG:Ce) with the relative weight ratio of 1 : 1 in order to apply for optoelectronic devices are reported. Thermal analysis showed the hybrid material’s deterioration or decomposition when the temperature exceeded 200°C under inert gas atmosphere. Rheological measurement concluded that the material solution can be used for spinning or soft moulding lithography making large- or flexible substrate surface. Optical properties of the hybrid material are investigated. The effect of thermal treatment on the optical properties showed that, at 180°C under inert gas environment, the optical properties were enhanced. An MEH-PPV/YAG:Ce hybrid nanocomposite converted LED lamp was fabricated showing that the hybrid material is suitable as conversion material for white LED fabrication.
Van Chau Dinh
dinhchau@vnu.edu.vn
Van Thuong Dinh
2016-07-11T12:40:48Z
2016-07-11T12:41:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1811
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1811
2016-07-11T12:40:48Z
MEH-PPV: PCBM Solution for Screen-printing Technique in Polymer Solar Cell Fabrication
The fabrication and property characterization of bulk heterojunction photoactive layer made of blend of poly[2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] (MEH-PPV) and (6,6)-phenyl C61 butyric acid methyl ester (PCBM) and fabricated by screen-printing technique was carried out. Effects of the photoactive solid concentration, temperatures at 15, 25 and 35°C and shear rate ranging from 100-104 sec–1 on the viscosity was investigated and shows that 1, 3 and 5% solid concentrated solutions are possible for using as ink in this technique in term of rheological property. Thickness of the films fabricated from different solid concentrated solutions at different print speed was measured and show a dependency of film thickness on print speed as well as exponential law dependence on solid concentration of the solution. Surface morphology and absorption coefficient of the films was also carried out and confirms that the films formed by the screen printer is well-adapted for fabricate photovoltaic devices.
Van Chau Dinh
dinhchau@vnu.edu.vn
Van Thuong Dinh
2016-07-11T12:39:42Z
2016-07-11T12:40:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1809
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1809
2016-07-11T12:39:42Z
Estimation of Valence Distribution in Perovskites using the Bond Valence Method
This paper presents the application of the bond valence method to estimate the valence charge distribution in several perovskite systems: La1-xxPbxMn03 (x=0.1-0.5), La0.6Sr0.4.xTixMnO3 (x=0.0- 0.25) and La1=xSrxCoO3 (x=0.1-0.5). The results showed a failure of elastic bonding mechanism in all compounds and revealed a deficit of valence charge in the unit cells. This valence deficit was not associated with the structural defects and is equally localized in all coordination spheres. As the content of substitution increased, the charge deficit declined systematically from balanced level, signifying the transfer of charge from the B-O6 to A-O12 spheres. This transfer reaches near 2 electrons per unit cell. The possible impact of the limitted accuracy of available structural data was considered.
D.H. Yen P.
T. Hang N.
Van Chau Dinh
dinhchau@vnu.edu.vn
Van Thuong Dinh
Nam Nhat Hoang
nhathn@vnu.edu.vn
2016-07-11T12:38:17Z
2016-07-11T12:39:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1808
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1808
2016-07-11T12:38:17Z
Effect of Cerium Doping on Crystal Structure and Magnetic Properties of La1-yCeyFe11.44Si1.56 Compounds
Kim Anh Do
Van Chau Dinh
dinhchau@vnu.edu.vn
Nam Nhat Hoang
nhathn@vnu.edu.vn
Van Hiep Vuong
Kurisu Makio
2016-07-11T12:28:23Z
2016-12-10T10:59:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1803
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1803
2016-07-11T12:28:23Z
Light Absorption and Luminescence Quenching Properties of Hybrid Bulk Heterojunction Materials Based on the Blend Conducting Polymers
We have investigated the enhancement absorption light and luminescence quenching properties of the hybrid bulk heterojunction systems which were fabricated using poly[2-methoxy-5-(2-ethyl-hexyloxy)-1,4-phenylene vinylene] (MEH-PPV); poly(3-hexylthiophene) (P3HT); fullerene derivative 1-(3-methoxycarbonyl) propyl-1-phenyl-[6,6] C61 (PCBM) and TiO2 nanocrystals. The optimized material showed a broad absorption in the region of 350 to 670 nm and the luminescence quenching higher 85%. The obtained results provide further insight into photophysics of the heterojunction system and device performance improvement by using this system as an active layer.
Phuong Hoai Nam Nguyen
namnph@vnu.edu.vn
Nang Dinh Nguyen
dinhnn@vnu.edu.vn
Thu Ha Le
Trinh Tung Ngo
2016-07-11T12:25:27Z
2016-07-11T12:27:41Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1802
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1802
2016-07-11T12:25:27Z
Characterzation of the Li-ionic Conductivity of La(2/3-x)Li3xTiO3 ceramics used for all-solid-state batteries
Dinh Trong Le
Thi Thao Tran
thaott@vnu.edu.vn
Nang Dinh Nguyen
dinhnn@vnu.edu.vn
2016-06-30T09:59:50Z
2016-06-30T10:02:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1786
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1786
2016-06-30T09:59:50Z
Partial Stochastic Linearization of a Spherical Pendulum With Coriolis Damping Produced by Radial Spring and Damper
This study considers the stochastic analysis of a spherical pendulum, whose bidirectional vibration is reduced by spring and damper installed in the radial direction between the point mass and the cable. Under sway motion, the centrifugal force results in the radial motion, which in its turn produces the Coriolis force to reduce sway motion. In stochastic analysis and design, the problem is that the Monte Carlo simulation is time-consuming, while the full stochastic linearization totally fails to describe the effectiveness of the spring and damper. We propose the partial linearization applied to the Coriolis damping to overcome the disadvantages of two mentioned methods. Moreover, the proposed technique can give the analytical solution of partial linearized system. A numerical simulation is performed to verify the proposed approach.
Duc Viet La
2016-06-01T13:30:00Z
2016-06-01T13:30:00Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1609
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1609
2016-06-01T13:30:00Z
Particulate matter concentration mapping from MODIS satellite data: a Vietnamese case study
Particulate Matter (PM) pollution is one of the most important air quality concerns in Vietnam. In this study, we integrate ground-based measurements, meteorological and satellite data to map temporal PM concentrations at a 10 × 10 km grid for the entire of Vietnam. We specifically used MODIS Aqua and Terra data and developed statistically-significant regression models to map and extend the ground-based PM concentrations. We validated our models over diverse geographic provinces i.e., North East, Red River Delta, North Central Coast and South Central Coast in Vietnam. Validation suggested good results for satellite-derived PM2.5 data compared to ground-based PM2.5 (n = 285, r2 = 0.411, RMSE = 20.299 μg m−3 and RE = 39.789%). Further, validation of satellite-derived PM2.5 on two independent datasets for North East and South Central Coast suggested similar results (n = 40, r2 = 0.455, RMSE = 21.512 μg m−3, RE = 45.236% and n = 45, r2 = 0.444, RMSE = 8.551 μg m−3, RE = 46.446% respectively). Also, our satellite-derived PM2.5 maps were able to replicate seasonal and spatial trends of ground-based measurements in four different regions. Our results highlight the potential use of MODIS datasets for PM estimation at a regional scale in Vietnam. However, model limitation in capturing maximal or minimal PM2.5 peaks needs further investigations on ground data, atmospheric conditions and physical aspects.
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
Quang Hung Bui
hungbq@vnu.edu.vn
Van Hung Pham
Viet Hung Luu
Duc Man Chu
2016-05-31T02:23:39Z
2016-05-31T02:23:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/848
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/848
2016-05-31T02:23:39Z
Eficientand Reliable GPS-based Wireless Adhoc for Marine Search and Rescue System
Duc Tuyen Ta
tuyentd@vnu.edu.vn
Duc Tan Tran
tantd@vnu.edu.vn
Duc Dung Do
dungdd@gmail.com
2016-05-30T05:25:07Z
2016-05-30T05:25:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1626
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1626
2016-05-30T05:25:07Z
Differential C4D sensor for conductive and non-conductive fluidic channel
This paper presents a novel design of a differential C4D (DC4D) sensor based on three electrodes for both conductive and non-conductive fluidic channel. This structure consists of two single C4D with an applied carrier sinusoidal signal to the center electrode as the excitation electrode. The electrodes are directly bonded on the PCB with built-in differential amplifier and signal processing circuit in order to reduce the parasitic component and common noise. In the non-conductive fluidic channel, the output voltage and capacitance changes 214.39 mV and 14 fF, respectively when a 3.83 μl tin particle crosses an oil channel. In conductive fluidic channel, the output voltage and admittance change up to 300 mV and 0.07 μS for the movement of a 4.88 μl plastic particle through channel. Moreover, the voltage change of this sensor is linear relation with the volume of investigated particle. This sensor also allows measuring velocity of particle inside fluidic channel and resistivity of the conductive fluidic.
Dac Hai Nguyen
Quoc Tuan Vu
tvu.tuan@gmail.com
Quang Loc Do
Hoang Hai Nguyen
Duc Trinh Chu
trinhcd@vnu.edu.vn
2016-05-30T05:23:34Z
2016-05-30T05:23:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1627
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1627
2016-05-30T05:23:34Z
Analytical modeling of a silicon-polymer electrothermal microactuator
This paper illustrates both thermal and mechanical analysis methods for displacement and contact force calculating of a novel sensing silicon-polymer microgripper when heat sources are applied by an electric current via its actuators. Thermal analysis is used to obtain temperature profile by figuring out a heat conductions and convections model. Temperature profile is then applied into the mechanical structure of the gripper’s actuators to form the final equation of displacement and contact force of the jaws. Finally, the comparison among the calculation, simulation and actual measurement concludes that materialization methods are appropriate. Achieving the final equation of gripper’s jaws displacement and contact force is a major step to optimize or reform this novel structure for different sizes to meet specific applications.
Huu Phu Phan
Minh Ngoc Nguyen
Ngoc Viet Nguyen
Duc Trinh Chu
trinhcd@vnu.edu.vn
2016-05-30T05:21:45Z
2016-05-30T05:21:45Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1761
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1761
2016-05-30T05:21:45Z
Improved time and frequency synchronization in presence of imperfect channel state information
This paper addresses altogether time and frequency synchronization in IEEE 802.11a orthogonal frequency division multiplexing (OFDM) wireless communication systems. The proposed algorithms have two main features: (i) they make use of an additional source of information available at IEEE 802.11a physical layer, on top of the usual ones typically adopted for synchronization such as training sequences. This additional source of information is provided by the higher layers of the communication protocol. In fact, when the carrier sense multiple access with collision avoidance (CSMA/CA) protocol is activated, the receiver is able to predict some parts of the SIGNAL field that are classically assumed unknown. Moreover, during the negotiation of the transmission medium reservation, the exchanged frames not only help the receiver to predict the SIGNAL field but also to obtain information about the channel state. (ii) Based on this property, we propose a joint MAP time and frequency synchronization algorithm using all available information. Finally, the time synchronization is fine tuned by means of a specific metric in the frequency domain that allows us to minimize the expectation of the transmission error function over all channel estimate errors. Simulation results compliant with the IEEE 802.11a standard in both indoor and outdoor environments show that the proposed algorithm drastically improves the performance in terms of synchronization failure probability and bit error ratio, compared to state-of-the-art algorithms.
Cong Luong Nguyen
luong.nguyen@univ-paris13.fr
Anissa Mokraoui
anissa.mokraoui@univ-paris13.fr
Pierre Duhamel
pierre.duhamel@lss.supelec.fr
Linh Trung Nguyen
linhtrung@vnu.edu.vn
2016-05-28T04:00:28Z
2016-05-28T04:00:28Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1695
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1695
2016-05-28T04:00:28Z
Nonlinear dynamic response of imperfect symmetric thin S-FGM plate with metal- ceramic-metal layers on elastic foundation
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
2016-05-28T03:59:16Z
2016-05-28T03:59:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1690
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1690
2016-05-28T03:59:16Z
Influence of ASE Noise on the Performance of DWDM Networks Using Low-Power Pumped Raman Amplifiers
We present the results of investigation of influence of amplified spontaneous emission (ASE) noise, noise figure (NF) and dispersion chromatic on the performance of middle-distance dense-wavelength-division-multiplexing (DWDM) networks using low-power pumped distributed Raman amplifiers (DRAs) in two different pump configurations, i.e., forward and backward pumping. We found that the pumping configurations, ASE noise, and dispersion play an important role for improving the network performance by reduction of NF and bit error rate (BER) of the system. Simulation results show that the lowest BER and low NF were obtained, when using the forward pumping configuration. Moreover, we have also compared ASE noise powers of the simulation with this experiment. These results conclude that DRA with low pump power (<1 W) is the promising key technology for short- and/or middle-distance DWDM transmission networks.
Trung Ninh Bui
ninhbt@vnu.edu.vn
Quoc Tuan Nguyen
tuannq@vnu.edu.vn
Van Hoi Nguyen
hoinv@vnu.edu.vn
2016-05-27T06:35:56Z
2016-05-30T03:00:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1711
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1711
2016-05-27T06:35:56Z
A printed circuit board capacitive sensor for air bubble inside fluidic flow detection
This paper presents a three-electrode capacitive fluidic sensor for detecting an air bubble inside a fluidic channel such as blood vessels, oil or medical liquid channels. The capacitor is designed and fabricated based on a printed circuit board (PCB). The electrodes are fabricated by using copper via structure through top to bottom surface of the PCB. A plastic pipe is layout through the capacitive sensor and perpendicular to the PCB surface. Capacitance of sensor changes when an air bubble inside fluidic flow cross the sensor. The capacitance change can be monitored by using a differential capacitive amplifier, a lock-in amplifier, filter and an NI acquisition card. Signal is processed and calculated on a computer. Air bubble inside the liquid flow are detected by monitor the unbalance signal between the three electrode potential voltages. Output voltage depends on the volume of the air bubble due to dielectric change between capacitor’s electrodes. Output voltage is up to 53 mV when an 2.28 mm3 air bubble crosses the sensing channel. Air bubble velocity can be estimated based on the output pulse signal. This proposed fluidic sensor can be used for void fraction detection in medical devices and systems; fluidic characterization; and water–gas, oil–water and oil–water–gas multiphase flows in petroleum technology. That structure also can apply to the micro-size for detecting in microfluidic to monitor and control changes in microfluidic channels.
Quoc Tuan Vu
tvu.tuan@gmail.com
H. Dac Nguyen
h.dac@gmail.com
T. Quoc Pham
p.quoc@gmail.com
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Trinh Chu Duc
trinhcd@vnu.edu.vn
2016-05-27T05:06:14Z
2017-01-02T10:26:09Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1722
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1722
2016-05-27T05:06:14Z
Antenna without Phase Center for DOA Estimation in Compressive Array Processing
Recently, Compressive Sensing (CS) has been applied to array signal processing. In theory, Direction-of-Arrival (DOA) estimation based on CS recovery can work well in
correlated environments. However, a large number of sensors (i.e., linear measurements) are still needed for CS recovery. To improve on this, we propose a new CS-based DOA estimation method with a recently designed antenna structure called the Asymmetric Antenna without
Phase Center (Asym-AWPC). The best reconstruction is achieved by solving the l1-norm optimization problem, which is cast as an l1-regularized least-squares program. Simulated results indicate the effectiveness of the proposed CS-based Asym-AWPC DOA estimator in a
multipath environment over a recent Asym-AWPC DOA estimator but using the Multiple Signal Classification (MUSIC) rather than CS. Further improvement on the resolution can be achieved by tuning the degree of asymmetry in designing the Asym-AWPC.
Thi Thuy Quynh Tran
quynhttt@vnu.edu.vn
Duc Tan Tran
tantd@vnu.edu.vn
Linh Trung Nguyen
linhtrung@vnu.edu.vn
Anh Phan
anhphan@vnu.edu.vn
2016-05-27T03:17:56Z
2017-01-02T10:25:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1754
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1754
2016-05-27T03:17:56Z
Ultrasound Tomography in Circular Measurement Configuration using Nonlinear Reconstruction Method
Ultrasound tomography offers the potential for detecting of very small tumors whose sizes are smaller than the wavelength of the incident pressure wave without ionizing radiation. Based on inverse scattering technique, this imaging modality uses some material properties such as sound contrast and attenuation in order to detect small objects. One of the most commonly used methods in ultrasound tomography is the Distorted Born Iterative Method (DBIM). The compressed sensing technique was applied in the DBIM as a promising approach for the image reconstruction quality improvement. Nevertheless, the random measurement configuration of transducers in this method is very difficult to set up in practice. Therefore, in this paper, we take advantages of simpler sparse uniform measurement configuration set-up of transducers and high-quality image reconstruction of l1 non-linear regularization in sparse scattering domain. The simulation results demonstrate the high performance of the proposed approach in terms of tremendously reduced total runtime and normalized error.
Quang Huy Tran
huytq@gmail.com
Duc Tan Tran
tantd@vnu.edu.vn
2016-05-26T15:51:41Z
2016-05-26T15:51:41Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1748
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1748
2016-05-26T15:51:41Z
Thermal Stability of Magnetic Compass Sensor for High Accuracy Positioning Applications
Using magnetic compass sensors in angle measurements have a wide area of application such as positioning, robot, landslide, etc. However, one of the most phenomenal that affects to the accuracy of the magnetic compass sensor is the temperature. This paper presents two thermal stability schemes for improving performance of a magnetic compass sensor. The first scheme uses the feed forward structure to adjust the angle output of the compass sensor adapt to the variation of the temperature. The second scheme increases both the temperature working range and steady error performance of the sensor. In this scheme, we try to keep the temperature of the sensor is stable at the certain value (e.g. 25 o C) by using a PID (proportional-integral-
derivative) controller and a heating/cooling generator. Many experiment scenarios have implemented to confirm
the effectivity of these solutions.
Van Tang Pham
phamvantang@gmail.com
Dinh Chinh Nguyen
chinhnd@vnu.edu.vn
Quang Huy Tran
huytq@gmail.com
Duc Trinh Chu
trinhcd@vnu.edu.vn
Duc Tan Tran
tantd@vnu.edu.vn
2016-05-26T15:33:13Z
2016-05-26T15:33:44Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1693
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1693
2016-05-26T15:33:13Z
Nonlinear dynamic analysis and vibration of shear deformable piezoelectric FGM double curved shallow shells under damping-thermo-electro-mechanical loads
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Quan Tran
Dinh Luat Vu
2016-05-26T15:31:27Z
2016-05-26T15:32:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1678
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1678
2016-05-26T15:31:27Z
Nonlinear vibration and dynamic response of shear deformable imperfect functionally graded double curved shallow shells resting on elastic foundations in thermal environments
Quoc Quan Tran
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-05-26T15:29:35Z
2016-05-26T15:31:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1677
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1677
2016-05-26T15:29:35Z
Nonlinear dynamic analysis of Sigmoid functionally graded circular cylindrical shells on elastic foundations using the third order shear deformation theory in thermal environments
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Duc Tuan Ngo
Phuong Tran
Trong Dao Nguyen
Tat Dat Ngo
2016-05-26T09:01:00Z
2016-05-26T09:01:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1676
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1676
2016-05-26T09:01:00Z
Thermal stability of eccentrically stiffened FGM plate on elastic foundation based on Reddy’s third-order shear deformation plate theory
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
Dinh Quang Vu
quangvd2510@gmail.com
2016-05-26T08:58:29Z
2016-05-26T08:59:13Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1697
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1697
2016-05-26T08:58:29Z
Nonlinear response of imperfect eccentrically stiffened ceramic-metal-ceramic S-FGM thin circular cylindrical shells surrounded on elastic foundations under uniform radial load
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Toan Thang Pham
2016-05-26T08:50:30Z
2016-05-26T08:52:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1694
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1694
2016-05-26T08:50:30Z
Nonlinear dynamic analysis and vibration of shear deformable eccentrically stiffened S-FGM cylindrical panels with metal-ceramic-metal layers resting on elastic foundations
Quoc Quan Tran
Phuong Tran
Duc Tuan Ngo
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-05-26T06:23:52Z
2016-05-26T06:25:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1699
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1699
2016-05-26T06:23:52Z
Nonlinear vibration of thick FGM plates on elastic foundation subjected to thermal and mechanical loads using the first order shear deformation plate theory
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
2016-05-26T02:53:43Z
2016-05-26T02:55:57Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1692
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1692
2016-05-26T02:53:43Z
Nonlinear dynamic analysis of imperfect FGM double curved thin shallow shells with temperature-dependent properties on elastic foundation
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Quan Tran
2016-05-26T02:38:39Z
2016-05-26T02:39:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1708
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1708
2016-05-26T02:38:39Z
Crane sway reduction using Coriolis force produced by radial spring and damper
Duc Viet La
2016-05-26T01:13:31Z
2016-05-26T02:36:23Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1696
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1696
2016-05-26T01:13:31Z
Nonlinear buckling analysis of thin FGM annular spherical shells on elastic foundations under external pressure and thermal loads
Thi Thuy Anh Vu
Huy Bich Dao
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-05-25T14:02:55Z
2016-05-25T14:06:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1707
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1707
2016-05-25T14:02:55Z
A Cable-Passive Damper System for Sway and Skew Motion Control of a Crane Spreader
Duc Viet La
Park Youngjin
2016-05-25T04:03:51Z
2016-05-25T04:07:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1681
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1681
2016-05-25T04:03:51Z
Nonlinear vibration and dynamic response of imperfect eccentrically stiffened shear deformable sandwich plate with functionally graded material in thermal environment
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
Duc Tuan Ngo
Phuong Tran
Minh Anh Vu
vuminhanhhp@gmail.com
Dinh Quang Vu
quangvd2510@gmail.com
2016-05-25T04:02:33Z
2016-05-25T04:03:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1680
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1680
2016-05-25T04:02:33Z
Nonlinear mechanical, thermal and thermo-mechanical postbuckling of imperfect eccentrically stiffened thin FGM cylindrical panels on elastic foundations
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Duc Tuan Ngo
Quoc Quan Tran
Van Quyen Nguyen
Van Anh Tran
anhtv0511@gmail.com
2016-05-25T04:01:24Z
2016-05-25T04:02:19Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1682
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1682
2016-05-25T04:01:24Z
The nonlinear stability of axisymmetric FGM annular spherical shells under thermo-mechanical load
Thi Thuy Anh Vu
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-05-25T03:59:33Z
2016-05-25T04:00:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1683
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1683
2016-05-25T03:59:33Z
Mechanical and thermal stability of eccentrically stiffened functionally graded conical shell panels resting on elastic foundations and in thermal environment
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
Minh Anh Vu
vuminhanhhp@gmail.com
Dinh Quang Vu
quangvd2510@gmail.com
Phuong Tran
Duc Tuan Ngo
Hoa Thinh Nguyen
2016-05-25T03:45:44Z
2016-05-25T03:52:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1687
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1687
2016-05-25T03:45:44Z
Nonlinear thermal dynamic response of shear deformable FGM plates on elastic foundations
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Huy Bich Dao
Hong Cong Pham
2016-05-25T03:33:45Z
2016-05-25T03:35:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1686
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1686
2016-05-25T03:33:45Z
Vibration and nonlinear dynamic response of imperfect three-phase polymer nanocomposite panel resting on elastic foundations under hydrodynamic loads
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hadavinia Homayoun
Van Thu Pham
Quoc Quan Tran
2016-05-25T03:30:06Z
2016-05-25T03:30:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1684
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1684
2016-05-25T03:30:06Z
Nonlinear response of shear deformable S-FGM shallow spherical shell with ceramic-metal-ceramic layers resting on elastic foundation in thermal environment
Thi Thuy Anh Vu
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-05-25T03:23:54Z
2016-05-25T03:29:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1679
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1679
2016-05-25T03:23:54Z
Nonlinear stability of shear deformable eccentrically stiffened functionally graded plates on elastic foundations with temperature-dependent properties
Hong Cong Pham
Thi Ngoc An Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2016-05-25T03:06:17Z
2016-05-25T03:09:42Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1578
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1578
2016-05-25T03:06:17Z
Fabrication and stress analysis of annular-trench-isolated TSV
The large mismatches among the coefficients of thermal expansion (CTE) of the metal via, insulator liner, and Si substrate of the through-silicon via (TSV) induce thermal stresses within and around the TSV during thermal-cycled fabrication processes. Reduction of thermal stress in the Si substrate is important for minimizing the deviations in the device characteristics. An annular-trench-isolated (ATI) structure was proposed for the TSV to solve the thermal issues, which occur during the three-dimensional (3D) integrated circuit (IC) integration, by stress redistribution. The concept of ATI TSV is based on retaining a Si-ring between the metal core and insulator layer during the fabrication process. We realized the ATI TSV using a via-last fabrication approach, with two deep silicon etching processes (Bosch processes) for the insulator layer and the metal core. Parylene-HT was utilized as the insulator to achieve high uniformity. With a vacuum-assisted filling system, the vias were filled with a solder material. ATI TSVs with diameters of 10 μm and 2-μm-thick Parylene-HT insulation layers were demonstrated. Studies on the thermal stress levels of the ATI TSV were carried out by finite-element method (FEM) simulation, along with comparisons with regular and annular TSVs. We revealed that the ATI TSV shows lower thermal stresses in the Si substrate than the regular and annular TSVs. The ATI TSV is a possible candidate for 3D IC integration with stress-sensitive devices.
Wei Feng
Thanh Tung Bui
tungbt@vnu.edu.vn
Naoya Watanabe
Haruo Shimamoto
Masahiro Aoyagi
Katsuya Kikuchi
2016-05-25T03:02:11Z
2016-05-25T03:02:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1674
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1674
2016-05-25T03:02:11Z
Study of the climate change impacts on water quality in upstream portion of the Cau River Basin, Vietnam
Ngoc Hien Ha
Huy Hoang Bui
Thi Huong Tran
Thanh Than Tran
Thi Thu Ha Pham
Dang Toan Ta
Minh Son Nguyen
2016-05-24T01:36:58Z
2016-12-31T14:17:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1582
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1582
2016-05-24T01:36:58Z
Pressure sensor based on bipolar discharge corona configuration
We present a pressure sensing unit based on a unique corona discharge setup using symmetrical electrode arrangement with simultaneous positive and negative corona generation. The device generates stable corona discharge and enables reliable air pressure measurement in the range of 80-105 kPa, tested with five prototypes. The current-voltage characteristics of bipolar discharge system is analyzed in general form and three governing parameters, namely electrode geometry, electrode distance and discharge current, are studied in relation with absolute pressure. The sensors are driven with constant discharge current as low as 1 μA. The measured sensitivity is in good agreement with theoretical prediction and the sensor stability has been confirmed with 20-h continuous test without sensitivity deterioration. The sensitivity does not depend on the tested temperature range and its variation between devices is small, approximately ±3.0%. The advantage of the proposed system compared with similar corona-based constructions is its stable operation at low current with low power consumption and minimum electrode deterioration, which provides a cost effective and reliable solution
Thanh Van Dau
dauthanhvan@gmail.com
Thanh Tung Bui
tungbt@vnu.edu.vn
Xuan Thien Dinh
thien@cfd.ritsumei.ac.jp
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
2016-05-24T01:35:06Z
2016-12-31T14:15:44Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1579
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1579
2016-05-24T01:35:06Z
Bipolar corona discharge based air flow generation with low net charge
In this paper, we report on a miniaturized device that can generate ion wind flow with very low net charge. Both positive and negative ions are simultaneously generated from two sharp electrodes placed in parallel, connected to a single battery-operated power source. The two-electrode arrangement is symmetrical, where the electrode creating charged ions of one polarity also serves as the reference electrode to establish the electric field required for ion creation by the opposite electrode, and vice versa. The numerical simulation is carried out with programmable open source OpenFOAM, where the measured current-voltage is applied as boundary condition to simulate the electrohydrodynamics flow. The air flow inside the device is verified by eight hotwires embedded alongside the downstream channel. It was confirmed that the jet flow generated in the channel has a linear relationship with the square root of the discharge current and its measured values agree well with simulation. The device is robust, ready-to-use and minimal in cost. These are important features that can contribute to the development of multi-axis fluidic inertial sensors, fluidic amplifiers, gas mixing, coupling and analysis. The proposed configuration is beneficial with space constraints and/or where neutralized discharge process is required, such as inertial fluidic units, circulatory flow heat transfer, electrospun polymer nanofiber to overcome the intrinsic instability of the process, or the formation of low charged aerosol for inhalation and deposition of charge particles.
Thanh Van Dau
dauv@sc.sumitomo-chem.co.jp
Xuan Thien Dinh
thien@cfd.ritsumei.ac.jp
Tibor Terebessy
tibor.terebessy@clearviewtraffic.com
Thanh Tung Bui
tungbt@vnu.edu.vn
2016-04-18T12:32:37Z
2016-04-18T12:32:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1491
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1491
2016-04-18T12:32:37Z
Ripple Down Rules for Question Answering
Recent years have witnessed a new trend on building ontology-based question answering systems, that is to use semantic web information to provide more precise answers to users' queries. However, these systems are mostly designed for English, therefore, we introduce in this paper such a system for Vietnamese, that is, to the best of our knowledge, the first one made for Vietnamese. Different from most of previous works, we propose an approach that systematically builds a knowledge base of grammar rules for processing each input question into an intermediate representation element. Then we take this element with respect to a target ontology by applying concept-matching techniques for returning an answer. Experimental results show that the performance of the system on a wide range of Vietnamese questions is promising with accuracies of 84.1% and 82.4% for analyzing question and retrieving answer, respectively. Furthermore, our approach to the question analysis can easily be applied to new domains and new languages, thus saving time and human effort.
Quoc Dat Nguyen
Quoc Dai Nguyen
Bao Son Pham
sonpb@vnu.edu.vn
2016-04-18T11:49:52Z
2016-05-09T03:45:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1490
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1490
2016-04-18T11:49:52Z
Building 3D Event Logs for Video Investigation
In scene investigation, creating a video log captured using a handheld camera is more convenient and more complete than taking photos and notes. By introducing video analysis and computer vision techniques, it is possible to build a spatio-temporal representation of the investigation. Such a representation gives a better overview than a set of photos and makes an investigation more accessible. We develop such methods and present an interface for navigating the result. The processing includes (i) segmenting a log into events using novel structure and motion features making the log easier to access in the time dimension, and (ii) mapping video frames to a 3D model of the scene so the log can be navigated in space. Our results show that, using our proposed features, we can recognize more than 70 percent of all frames correctly, and more importantly find all the events. From there we provide a method to semi-interactively map those events to a 3D model of the scene. With this we can map more than 80 percent of the events. The result is a 3D event log that captures the investigation and supports applications such as revisiting the scene, examining the investigation itself, or hypothesis testing.
Trung Kien Dang
Marcel Worring
The Duy Bui
duybt@vnu.edu.vn
2016-04-18T11:39:21Z
2016-05-25T03:18:38Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1489
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1489
2016-04-18T11:39:21Z
An Improved Learning Rule for Fuzzy ART
Thi Hoa Nong
The Duy Bui
duybt@vnu.edu.vn
2016-03-27T07:47:07Z
2016-03-27T07:47:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1486
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1486
2016-03-27T07:47:07Z
D-AVTree: DHT-based Search System to Support Scalable Multi-attribute Queries
At present, vast numbers of information resources are available on the Internet. However, one emerging issue is how to search and exploit these information resources in an efficient and flexible manner with high scalability. In this study, we focused our attention on the design of a distributed hash table (DHT)-based search system that supports efficient scalable multi-attribute queries of information resources in a distributed manner. Our proposed system, named D-AVTree, is built on top of a ring-based DHT, which partitions a one-dimensional key space across nodes in the system. It utilizes a descriptive naming scheme, which names each resource using an attribute-value (AV) tree, and the resource names are mapped to d-bit keys in order to distribute the resource information to responsible nodes based on a DHT routing algorithm. Our mapping scheme maps each AV branch of a resource name to a d-bit key where AV branches that share a subsequence of AV pairs are mapped to a continuous portion of the key space. Therefore, our mapping scheme ensures that the number of resources distributed to a node is small and it facilitates efficient multi-attribute queries by querying only a small number of nodes. Further, the scheme has good compatibility with key-based load balancing algorithms for DHT-based networks. Our system can achieve both efficiency and a good degree of load balancing even when the distribution of AV pairs in the resource names is skewed. Our simulation results demonstrated the efficiency of our solution in terms of the distribution cost, query hit ratio, and the degree of load balancing compared with conventional approaches.
Hoai Son Nguyen
sonnh@vnu.edu.vn
Yasuo Tan
Yoichi Shinoda
2016-01-13T15:43:53Z
2016-01-13T15:43:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1481
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1481
2016-01-13T15:43:53Z
Improving Learning Rule for Fuzzy Associative Memory with Combination of Content and Association
The Duy Bui
duybt@vnu.edu.vn
Thi-Hoa Nong
Trung Kien Dang
2016-01-13T15:34:28Z
2016-01-13T15:34:28Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1480
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1480
2016-01-13T15:34:28Z
Spatial Interpolation and Assimilation Methods for Satellite and Ground Meteorological Data in Vietnam
Khac Phong Do
Ba Tung Nguyen
Xuan Thanh Nguyen
Quang Hung Bui
hungbq@vnu.edu.vn
Nguyen Le Tran
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
Van Quynh Vuong
Thanh Ha Le
ltha@vnu.edu.vn
2016-01-11T03:40:14Z
2016-01-13T15:39:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1478
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1478
2016-01-11T03:40:14Z
Spatial Interpolation of Meteorologic Variables in Vietnam using the Kriging Method
Xuan Thanh Nguyen
Ba Tung Nguyen
Khac Phong Do
Quang Hung Bui
hungbq@vnu.edu.vn
Thi Nhat Thanh Nguyen
thanhntn@vnu.edu.vn
Van Quynh Vuong
Thanh Ha Le
ltha@vnu.edu.vn
2016-01-10T14:56:48Z
2016-01-10T14:56:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1477
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1477
2016-01-10T14:56:48Z
Dual-phase approach to Improve Prediction of Heart Disease in Mobile Environment
Yang Koo Lee
Thi Hong Nhan Vu
vthnhan@vnu.edu.vn
Thanh Ha Le
ltha@vnu.edu.vn
2016-01-10T14:45:58Z
2016-01-10T14:45:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1476
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1476
2016-01-10T14:45:58Z
Whole Genome Analysis of a Vietnamese Trio
We here present the first whole genome analysis of an anonymous Kinh Vietnamese (KHV) trio whose genomes were deeply sequenced to 30-fold average coverage. The resulting short reads covered 99.91% of the human reference genome (GRCh37d5). We identified 4,719,412 SNPs and 827,385 short indels that satisfied the Mendelian inheritance law. Among them, 109,914 (2.3%) SNPs and 59,119 (7.1%) short indels were novel. We also detected 30,171 structural variants of which 27,604 (91.5%) were large indels. There were 6,681 large indels in the range 0.1–100 kbp occurring in the child genome that were also confirmed in either the father or mother genome. We compared these large indels against the DGV database and found that 1,499 (22.44%) were KHV specific. De novo assembly of high-quality unmapped reads yielded 789 contigs with the length ≥300 bp. There were 235 contigs from the child genome of which 199 (84.7%) were significantly matched with at least one contig from the father or mother genome. Blasting these 199 contigs against other alternative human genomes revealed 4 novel contigs. The novel variants identified from our study demonstrated the necessity of conducting more genome-wide studies not only for Kinh but also for other ethnic groups in Vietnam.
Thanh Hai Dang
Dai Thanh Nguyen
Thi Minh Trang Pham
Si Quang Le
Thi Thu Hang Phan
Cao Cuong Dang
Kim Phuc Hoang
Huu Duc Nguyen
ducnh@soict.hust.edu.vn
Duc Dong Do
Quang Minh Bui
Bao Son Pham
sonpb@vnu.edu.vn
Sy Vinh Le
2016-01-06T08:30:53Z
2016-05-26T06:47:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1475
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1475
2016-01-06T08:30:53Z
An Approach to Embedded Software Optimization Based on Reverse Engineering
Ngoc Binh Nguyen
nnbinh@vnu.edu.vn
Van Huong Pham
Ngoc Hai Bui
2016-01-06T07:51:03Z
2016-01-06T07:51:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1474
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1474
2016-01-06T07:51:03Z
An FTPAS for Response Time Analysis of Fixed Priority Real-Time Tasks with Resource Augmentation
Thi Huyen Chau Nguyen
Pascal Richard
Emmanuel Grolleau
2016-01-06T07:48:03Z
2016-01-06T07:48:03Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1473
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1473
2016-01-06T07:48:03Z
A Framework for Verifying the Conformance of Design to Its Formal Specifications
Dieu Huong Vu
Yuki Chiba
Kenro Yatake
Toshiaki Aoki
2016-01-06T07:41:50Z
2016-01-06T07:41:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1472
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1472
2016-01-06T07:41:50Z
Smartphones Behavior Analysis based on Sequential Pattern Approaches
Ha Nam Nguyen
namnh@vnu.edu.vn
Gyoo-Seok Choi
2016-01-06T07:31:16Z
2016-03-28T06:01:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1471
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1471
2016-01-06T07:31:16Z
Novel Algorithm for Nonnegative Matrix Factorization
Non-negative matrix factorization (NMF) is an emerging technique with a wide spectrum of potential applications in data analysis. Mathematically, NMF can be formulated as a minimization problem with non-negative constraints. This problem attracts much attention from researchers for theoretical reasons and for potential applications. Currently, the most popular approach to solve NMF is the multiplicative update algorithm proposed by Lee and Seung. In this paper, we propose an additive update algorithm that has a faster computational speed than Lee and Seung's multiplicative update algorithm.
Dang Hien Tran
Van Tuan Do
Van At Pham
Hung Son Le
2016-01-06T07:20:49Z
2016-01-06T07:20:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1470
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1470
2016-01-06T07:20:49Z
DESRM: a Disease Extraction System for Real-time Monitoring
Minh-Tien Nguyen
Tri Thanh Nguyen
ntthanh@vnu.edu.vn
2016-01-06T07:11:29Z
2016-01-06T07:11:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1469
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1469
2016-01-06T07:11:29Z
Highly-Accurate Metabolomic Detection of Early-Stage Ovarian Cancer
David Gaul
Roman Mezencev
Quoc Long Tran
longtq@vnu.edu.vn
Christina M. Jones
Benedict Benigno
Alexander Gray
Facundo Fernandez
John F. McDonald
2016-01-06T06:57:59Z
2016-03-21T15:35:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1468
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1468
2016-01-06T06:57:59Z
Semi-supervised Tensor Regression Model for siRNA Efficacy Prediction
Short interfering RNAs (siRNAs) can knockdown target genes and thus have an immense impact on biology and pharmacy research. The key question of which siRNAs have high knockdown ability in siRNA research remains challenging as current known results are still far from expectation.
This work aims to develop a generic framework to enhance siRNA knockdown efficacy prediction. The key idea is first to enrich siRNA sequences by incorporating them with rules found for designing effective siRNAs and representing them as enriched matrices, then to employ the bilinear tensor regression to predict knockdown efficacy of those matrices. Experiments show that the proposed method achieves better results than existing models in most cases.
Our model not only provides a suitable siRNA representation but also can predict siRNA efficacy more accurate and stable than most of state–of–the–art models. Source codes are freely available on the web at: http://www.jaist.ac.jp/\~bao/BiLTR/
Ngoc Thang Bui
thangbn@vnu.edu.vn
Tu Bao Ho
T.A. Kanda
2016-01-06T06:54:26Z
2016-01-06T06:54:26Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1467
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1467
2016-01-06T06:54:26Z
Vietnamese Treebank Construction and Entropy-based Error Detection
Phuong Thai Nguyen
thainp@vnu.edu.vn
Anh Cuong Le
cuongla@vnu.edu.vn
Tu Bao Ho
Van Hiep Nguyen
2015-12-19T04:34:22Z
2015-12-19T04:35:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1451
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1451
2015-12-19T04:34:22Z
Uplink Channel Allocation Scheme and QoS Management Mechanism for Cognitive Cellular-Femtocell Networks
Duc Kien Nguyen
Nam Hoang Nguyen
hoangnn@vnu.edu.vn
Morino Hiroaki
Sasase Iwao
2015-12-14T15:43:37Z
2015-12-14T15:45:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1424
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1424
2015-12-14T15:43:37Z
A Technique for Extracting Behavioral Sequence Patterns From GPS Data
Thi Hong Nhan Vu
Yang Koo Lee
The Duy Bui
2015-11-26T06:05:39Z
2015-11-26T06:06:36Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1218
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1218
2015-11-26T06:05:39Z
Nonlinear Response of Imperfect Eccentrically Stiffened Ceramic-Metal-Ceramic FGM Circular Cylindrical Shells Surrounded on Elastic Foundations and Subjected to Axial Compression
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Toan Thang Pham
2015-11-26T06:03:07Z
2015-11-26T06:05:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1219
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1219
2015-11-26T06:03:07Z
Nonlinear Response of Shear Deformable FGM Curved Panels Resting on Elastic Foundations and Subjected to Mechanical and Thermal Loading Conditions
This paper presents an analytical investigation on the nonlinear response of thick functionally graded doubly curved shallow panels resting on elastic foundations and subjected to some conditions of mechanical, thermal, and thermomechanical loads. Material properties are assumed to be temperature independent, and graded in the thickness direction according to a simple power law distribution in terms of the volume fractions of constituents. The formulations are based on higher order shear deformation shell theory taking into account geometrical nonlinearity, initial geometrical imperfection and Pasternak type elastic foundation. By applying Galerkin method, explicit relations of load-deflection curves for simply supported curved panels are determined. Effects of material and geometrical properties, in-plane boundary restraint, foundation stiffness and imperfection on the buckling and postbuckling loading capacity of the panels are analyzed and discussed. The novelty of this study results from accounting for higher order transverse shear deformation and panel-foundation interaction in analyzing nonlinear stability of thick functionally graded cylindrical and spherical panels.
Van Tung Hoang
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2015-11-26T06:00:13Z
2015-11-26T06:02:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1220
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1220
2015-11-26T06:00:13Z
Nonlinear Vibration of Imperfect Eccentrically Stiffened Functionally Graded Double Curved Shallow Shells Resting on Elastic Foundation using the First Order Shear Deformation Theory
This paper presents an analytical approach to investigate the nonlinear dynamic response and vibration of imperfect eccentrically stiffened FGM thick double curved shallow shells on elastic foundation using both the first order shear deformation theory and stress function with full motion equations (not using Volmir's assumptions). The FGM shells are assumed to rest on elastic foundation and subjected to mechanical and damping loads. Numerical results for dynamic response of the FGM shells are obtained by Runge–Kutta method. The results show the influences of geometrical parameters, the material properties, imperfections, the elastic foundations, eccentrically stiffeners and mechanical loads on the nonlinear dynamic response and nonlinear vibration of functionally graded double curved shallow shells. The numerical results in this paper are compared with results reported in other publications.
Huy Bich Dao
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Quan Tran
2015-11-24T07:52:50Z
2015-11-24T07:54:12Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1214
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1214
2015-11-24T07:52:50Z
Nonlinear Response of Imperfect Eccentrically Stiffened Ceramic-Metal-Ceramic S-FGM Thin Circular Cylindrical Shells Surrounded on Elastic Foundations under Uniform Radial Load
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Toan Thang Pham
2015-11-24T07:49:52Z
2015-11-24T07:52:17Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1223
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1223
2015-11-24T07:49:52Z
Nonlinear Postbuckling of an Eccentrically Stiffened Thin FGM Plate Resting on Elastic Foundation in Thermal Environments
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
2015-11-24T07:48:23Z
2015-11-24T07:49:37Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1211
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1211
2015-11-24T07:48:23Z
Nonlinear Thermal Stability of Eccentrically Stiffened Functionally Graded Truncated Conical Shells Surrounded on Elastic Foundations
This paper studies the thermal stability of an eccentrically stiffened functionally graded truncated conical shells in thermal environment and surrounded on elastic foundations. Both of the FGM shell as well as the stiffeners are deformed under temperature. The formulations are based on the classical shell theory taking into account geometrical nonlinearity, initial geometrical imperfection, temperature-dependent properties and the Lekhnitsky smeared stiffeners technique with Pasternak type elastic foundation. By applying Galerkin method, the closed-form expression for determining the thermal buckling load is obtained. The numerical results show that the critical thermal load in the case of the uniform temperature rise is smaller than one of the linear temperature distribution through the thickness of the shell, and the critical thermal load increases when increasing the coefficient of stiffeners and vice versa. The paper also analyzes and discussed the significant effects of material and geometrical properties, elastic foundations on the thermal buckling capacity of the eccentrically stiffened FGM truncated conical shell in thermal environment. The obtained results are validated by comparing with those in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Hong Cong Pham
2015-11-24T07:45:38Z
2015-11-24T07:46:49Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1212
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1212
2015-11-24T07:45:38Z
Nonlinear Stability Analysis of Thin FGM Annular Spherical Shells on Elastic Foundations under External Pressure and Thermal Loads
To increase the thermal resistance of various structural components in high-temperature environments, the present research deals with nonlinear stability analysis of thin annular spherical shells made of functionally graded materials (FGM) on elastic foundations under external pressure and temperature. Material properties are graded in the thickness direction according to a simple power law distribution in terms of the volume fractions of constituents. Classical thin shell theory in terms of the shell deflection and the stress function is used to determine the buckling loads and nonlinear response of the FGM annular spherical shells. Galerkin method is applied to obtain closed – form of load – deflection paths. An analysis is carried out to show the effects of material, geometrical properties, elastic foundations and combination of external pressure and temperature on the nonlinear stability of the annular spherical shells.
Thi Thuy Anh Vu
vuanhthuy206@gmail.com
Huy Bich Dao
Dinh Duc Nguyen
ducnd@vnu.edu.vn
2015-11-24T07:44:16Z
2015-11-24T07:45:24Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1217
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1217
2015-11-24T07:44:16Z
Nonlinear Response of Imperfect Eccentrically Stiffened FGM Cylindrical Panels on Elastic Foundation Subjected to Mechanical Loads
In the present paper, the nonlinear response of eccentrically stiffened FGM cylindrical panels on elastic foundation subjected to mechanical loads is presented. Material properties are graded in the thickness direction of the FGM panel according to a simple power law distribution. By applying Bubnov-Galerkin method, the Lekhnitsky smeared stiffeners technique with Pasternak type elastic foundation and stress function, explicit relations of load-deflection curves for simply supported eccentrically stiffened FGM panels are determined. Numerical results are given for evaluate effects of material and geometrical properties, elastic foundation and eccentrically outside stiffeners on the buckling and postbuckling of the FGM panels. The obtained results are validated by comparing with those in the literature.
Nonlinear response of imperfect eccentrically stiffened FGM cylindrical panels on elastic foundation subjected to mechanical loads. Available from: http://www.researchgate.net/publication/260268771_Nonlinear_response_of_imperfect_eccentrically_stiffened_FGM_cylindrical_panels_on_elastic_foundation_subjected_to_mechanical_loads [accessed Aug 6, 2015].
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Quan Tran
2015-11-24T07:42:34Z
2015-11-24T07:43:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1215
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1215
2015-11-24T07:42:34Z
Transient Responses of Functionally Graded Double Curved Shallow Shells with Temperature-Dependent Material Properties in Thermal Environment
An analytical approach is presented to investigate the nonlinear dynamic response and vibration of imperfect eccentrically stiffened FGM double curved thin shallow shells on elastic foundation using a simple power-law distribution (P-FGM) in thermal environment. The formulations are based on the classical shell theory taking into account geometrical nonlinearity, initial geometrical imperfection, temperature-dependent properties and the Lekhnitsky smeared stiffeners technique with Pasternak type elastic foundation. By applying Galerkin method and using stress function, explicit relations of thermal load-deflection curves for simply supported curved eccentrically stiffened FGM shells are determined. Effects of material and geometrical properties, temperature, elastic foundation and eccentrically stiffeners on the dynamic response and vibration of the imperfect eccentrically stiffened P-FGM double curved shallow shells in thermal environments are analyzed and discussed. The numerical results in this paper are compared with results reported in other publications.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Quoc Quan Tran
2015-11-24T07:39:24Z
2015-11-24T07:42:10Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1216
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1216
2015-11-24T07:39:24Z
Nonlinear Buckling of Imperfect Eccentrically Stiffened Metal-Ceramic-Metal S-FGM Thin Circular Cylindrical Shells with Temperature-Dependent Properties in Thermal Environments
In this paper, an analytical approach is presented to investigate the nonlinear static buckling for imperfect eccentrically stiffened functionally graded thin circular cylindrical shells with temperature-dependent properties surrounded on elastic foundation in thermal environment. Both shells and stiffeners are deformed simultaneously due to temperature. Material properties are graded in the thickness direction according to a Sigmoid power law distribution in terms of the volume fractions of constituents (S-FGM) with metal–ceramic–metal layers. The Lekhnitsky smeared stiffeners technique with Pasternak type elastic foundation, stress function and the Bubnov–Galerkin method are applied. Numerical results are given for evaluating effects of temperature, material and geometrical properties, elastic foundations and eccentrically outside stiffeners on the buckling and post-buckling of the S-FGM shells. The obtained results are validated by comparing with those in the literature.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Toan Thang Pham
2015-11-21T15:13:09Z
2015-11-21T15:15:16Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1221
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1221
2015-11-21T15:13:09Z
Nonlinear Axisymmetric Response of FGM Shallow Spherical shells on Elastic Foundations under Uniform External Pressure and Temperature
Based on the classical shell theory taking into account geometrical nonlinearity, initial geometrical imperfection and Pasternak type elastic foundation, the nonlinear axisymmetric response of shallow spherical FGM shells under mechanical, thermal loads and different boundary conditions is considered in this paper. Using the Bubnov–Galerkin method and stress function, obtained results show effects of elastic foundations, external pressure, temperature, material and geometrical properties on the nonlinear buckling and postbuckling of the shells. The snap-through behaviors of the FGM spherical shallow shells on elastic foundations also are analyzed carefully in this paper. Some results were compared with the ones of other authors.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Thi Thuy Anh Vu
Hong Cong Pham
2015-11-19T08:43:16Z
2015-11-19T08:43:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1209
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1209
2015-11-19T08:43:16Z
Nonlinear buckling of higher deformable S-FGM thick circular cylindrical shells with metal-ceramic-metal layers surrounded on elastic foundations in thermal environment
An analytical approach on the nonlinear response of thick functionally graded circular cylindrical shells with temperature independent material property surrounded on elastic foundations subjected to mechanical and thermal loads is presented. Material properties are graded in the thickness direction according to a Sigmoid power law distribution in terms of the volume fractions of constituents (S-FGM). The formulations are based on the third order shear deformation shell theory taking into account von Karman nonlinearity, initial geometrical imperfection and Pasternak type elastic foundation. By applying Galerkin method and using stress function, explicit relations of thermal load–deflection curves of the S-FGM shells are determined. Detailed parametric studies are carried out to investigate effects of volume fraction index, material properties and geometrical shapes, axial compressions and thermal load, foundation stiffness and imperfection on nonlinear buckling behaviors of S-FGM thick circular cylindrical shells. The present analysis is validated by comparing results with other publications.
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Toan Thang Pham
Trong Dao Nguyen
Van Tac Hoang
2015-11-19T07:58:32Z
2015-11-19T08:08:43Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1242
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1242
2015-11-19T07:58:32Z
Response Analysis of Van der Pol Oscillator Subjected to Harmonic and Random Excitations
The response of the Van der Pol oscillator subjected to combined harmonic and random excitations is investigated by a technique combining two excellent methods, namely the stochastic averaging method and the equivalent linearization method. The original equation is averaged by the stochastic averaging method at first. Then the resulting nonlinear averaged equations are linearized by the equivalent linearization method so that the equations obtained can be solved exactly by the technique of auxiliary function. The mean square response of the Van der Pol oscillator is computed algebraically and compared to the ones obtained by numerical simulation and by technique combining methods of stochastic averaging and equivalent non-linearization. The results show that the proposed technique gives a good prediction on mean square responses of the Van der Pol oscillator. In addition, the proposed technique can be applied to other nonlinear systems for it does not require any special conditions, and it can be improved by advanced optimization criteria of the equivalent linearization method.
Dong Anh Nguyen
L. Zakovorotny V.
N. Hao D.
2015-11-19T07:50:46Z
2015-11-19T07:51:58Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1240
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1240
2015-11-19T07:50:46Z
Extension of the Regulated Stochastic Linearization to Beam Vibrations
In this study, a version of the regulated stochastic linearization technique is proposed for the nonlinear random vibrations of Bernoulli–Euler nonlinear beams. For analysis, in order to balance the error of linearization, we utilize the regulated technique; namely, the appearing nonlinear terms are first replaced by higher-order nonlinear expressions that are subsequently reduced, in stages, to linear ones. It is demonstrated that this seemingly a “roundabout” way is extremely effective to derive a solution that turns out to be much closer to the results provided by the Monte Carlo simulation than those derived via the conventional or potential energy linearization techniques, in the cases of large nonlinearity.
Dong Anh Nguyen
I. Elishakoff
N. Hieu Nguyen
2015-11-19T07:37:01Z
2015-11-19T07:38:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1244
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1244
2015-11-19T07:37:01Z
Global-local Approach to the Design of Dynamic Vibration Absorber for Damped Structures
The dynamic vibration absorber (DVA) has attracted attention since its invention. This paper deals with the optimization problems of the standard DVA and two other models of DVA called three-element DVA and non-traditional DVA for damped primary structures. Unlike the standard configuration, the three-element DVA contains two spring elements in which one is connected to a dashpot in a series and the other is placed in parallel. Meanwhile the non-traditional DVA has a linear viscous damper connecting the absorber mass directly to the ground. There have been some studies on the design of three-element and non-traditional dynamic vibration absorbers in the case of undamped primary structures.
These studies have shown that both three-element and non-traditional DVAs perform better than the standard DVA.
When the primary structure is damped, there are very few studies on the three-element and non-traditional DVAs in the literature. This article proposes a global-local approach to give approximate analytical solutions of the H1 optimization for all standard, three-element and non-traditional DVAs attached to damped primary structures. The main idea of the study is based on the global-local criterion of the equivalent linearization method in order to replace approximately the original damped structure by an equivalent undamped one. Afterwards, the already derived expressions of the optimal parameters for the undamped primary system case are used with the equivalent undamped structure that was just obtained. The numerical simulations are carried out to verify the effectiveness of the obtained results. Additionally, design aids, which show the variation of the optimal design quantities for various DVA mass ratios and inherent structural damping ratios are also provided.
Dong Anh Nguyen
Xuan Nguyen Nguyen
Hoang Quan Nguyen
quannh@vnu.edu.vn
2015-11-13T07:50:16Z
2017-01-02T10:24:48Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1391
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1391
2015-11-13T07:50:16Z
Application of Street Tracking Algorithm in an INS/GPS Integrated Navigation System
Van Thang Nguyen
Duc Trinh Chu
trinhcd@vnu.edu.vn
Duc Tan Tran
tantd@vnu.edu.vn
2015-11-12T07:34:57Z
2017-01-02T10:27:20Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/86
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/86
2015-11-12T07:34:57Z
A Piezoresistive Acceleration Sensor: from System to Physical Levels
In this paper, we focus to design and simulation of a piezoresistive accelerometer using
three different soft-wares corresponding to three different levels: system, device, and physical ones. At
the system level, MATLAB software was utilized to model a simple mechanical damping system. At
the device level, we have used SUGAR/MATLAB to investigate the basic behaviors of 3-DOF
accelerometer. Consequently, the ANSYS finite-element software has been used to design and
simulate the advance properties of a 3-DOF piezoresistive accelerometer. At this level, we would also
perform optimization process for the fabrication step.
Duc Tan Tran
tantd@vnu.edu.vn
2015-11-12T07:33:43Z
2015-11-12T07:34:44Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/85
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/85
2015-11-12T07:33:43Z
Streamlining the Design of MEMS Devices: An Acceleration Sensor
A synthesis and optimization process is proposed and applied to the design of a specific MEMS device, namely an acceleration sensor. The design synthesis methodology exploits the fast and accurate simulation of the SUGAR tool (based on modified modal analysis) along with the full simulation capability of ANSYS (based on the finite element method). A three degrees-of-freedom piezoresistive acceleration sensor was designed to validate the proposed design flow. During the course of design, the modified nodal analysis and the finite element methods were combined in optimizing the sensor structure. In the latter, the piezoresistance effect was employed in sensing the acceleration in three dimensions.
Duc Tan Tran
tantd@vnu.edu.vn
2015-11-09T05:51:15Z
2015-11-09T05:53:06Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1264
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1264
2015-11-09T05:51:15Z
Critical Behavior of Y-doped Nd0.7Sr0.3MnO3 Manganites Exhibiting the Tricritical Point and Large Magnetocaloric Effect
We have determined the values of critical exponents of two polycrystalline samples (Nd1−xYx)0.7Sr0.3MnO3 (x = 0 and 0.07) from the magnetization data versus temperature and magnetic field, M(H, T), to learn about their magnetic and magnetocaloric (MC) properties. The results reveal the samples exhibiting the crossover of first-order and second-order phase transitions, where the exponent values β = 0.271 and γ = 0.922 for x = 0, and β = 0.234–0.236 and γ = 1.044–1.063 for x = 0.07 determined by using modified Arrott plots and static-scaling hypothesis are close to those expected for the tricritical mean-field theory (β = 0.25 and γ = 1.0). Particularly, the TC of x = 0 and 0.07 can be any value in the temperature ranges of 240–255 K and 170–278 K, respectively, depending on the magnitude of applied magnetic field and determination techniques. Around the TC, studying the MC effect of the samples has revealed a large magnetic-entropy change (ΔSm) up to ∼8 J/kg K for the applied field interval ΔH = 50 kOe, corresponding to refrigerant capacity values of 200–245 J/kg. These phenomena are related to the crossover nature and the persisting of FM/anti-FM interactions even above the TC, as further confirmed by electron-spin-resonance data, Curie–Weiss law-based analyses, and an exponential parameter characteristic of magnetic order n = dLn|ΔSm|/dLnH.
The Long Phan
T.A Ho
Duc Thang Pham
pdthang@vnu.edu.vn
Q.T Tran
D. Thanh Tran
X. Phuc Nguyen
M. H Phan
T. Huy Bui
S.C. Yu
2015-11-09T05:46:23Z
2015-11-09T05:56:39Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1265
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1265
2015-11-09T05:46:23Z
Inhomogeneous ferromagnetism and spin-glass-like behaviour in (Nd1-xYx)0.7Sr0.3MnO3 with x = 0.21 - 0.35
The magnetic properties of polycrystalline ceramic samples (Nd1-xYx)0.7Sr0.3MnO3 with x = 0.21 - 0.35 were studied by means of dc magnetization and ac susceptibility measurements. Experimental results reveal a strong decrease of the ferromagnetic (FM)-paramagnetic phase-transition temperature (TC) from 97 to 65 K as increasing x from 0.21 to 0.35, respectively. There is magnetic inhomogeneity associated with short-range FM order. Particularly, the samples undergo a spin-glass (SG) phase transition at the so-called blocking temperature (TB) below TC, which shifts toward lower temperatures with increasing the applied field, Hex; TB → Tg (the SG phase-transition temperature) as Hex → 0. The existence of the SG behavior in these samples was also confirmed by frequency (f) dependences of the ac susceptibility. For the in-phase/real component, χ'(T), it shows a frequency-dependent peak at the SG freezing temperature (Tf); Tf → Tg as f → 0. Dynamics of this process were analyzed by means of the slowing down scaling law, τ/τ0 ∝ (Tf /Tg - 1)-zv, where τ0 and zv are the characteristic time and critical exponent, respectively. Fitting the experimental Tf (f) data to the scaling law gave the results of zv = 10.1-12.3 and τ0 = 10-21-10-15s. These values are different from those expected for canonical SG systems with zv = 10 and τ0 = 10-13s, revealing the cluster-SG behavior of (Nd1-xYx)0.7Sr0.3MnO3 samples. Notably, the increase in Y content leads to the shift of τ0 and zv values toward those of canonical SG systems, which is ascribed to an expansi- n of SG clusters.
T.L Phan
V.D Nguyen
T.A Ho
V. Khiem Nguyen
D. Thanh Tran
X. Phuc Nguyen
Duc Thang Pham
pdthang@vnu.edu.vn
S.C. Yu
2015-11-08T08:54:43Z
2015-11-08T08:55:51Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1266
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1266
2015-11-08T08:54:43Z
Electrical Field Induced Magnetization Switching in CoFe/NiFe/PZT Multiferroics
In this paper, we have investigated the change in magnetization of multiferroic material, based on magnetic nanostructured CoFe/NiFe film grown on the piezoelectric lead zirconate titanate (PZT), under the effect of the strain originated from PZT layer. In this material, a converse magnetoelectric effect and especially, an electric field-induced magnetic anisotropy and magnetization switching process have been observed at the changing stages of applied electric voltage. In addition, a significant relative change in magnetization, above 100%, is obtained, which facilitates practical applications of the materials. This opens possibilities in achieving new types of memory devices, the low energy consumption devices, as well as other functionalities, such as voltage-tunable field sensing. A simple theory based on strain-mediated magnetic-electric coupling is also presented to understand the origin of the change in magnetic properties of the materials.
Thi Minh Hong Nguyen
hongntm@vnu.edu.vn
Thai Ha Pham
Viet Cuong Le
cuonglv@vnu.edu.vn
T. Long Pham
Duc Thang Pham
pdthang@vnu.edu.vn
2015-11-07T04:38:37Z
2015-11-07T04:39:36Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1288
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1288
2015-11-07T04:38:37Z
Effect of storage time and pretreatment on seed germination of the threatened coniferous species Fokienia hodginsii
We report the effects of storage time and pretreatment on seed germination of Fokienia hodginsii. Lower mean germination was observed in seeds stored for 2 years (6.41 ± 1.23 seeds/replicate) compared with those stored for 1 year (8.52 ± 1.06 seeds/replicate). Seeds collected from a southern location had statistically higher mean germination (9.67 ± 1.28 seeds/replicate) than those collected from a northern location (7.99 ± 1.36 seeds/replicate). Higher mean T50 was observed in seeds stored for 2 years (37.02 ± 4.43 days) compared with those stored for 1 year (30.69 ± 5.06 days). Mean germination of untreated fresh seeds was 9.97 ± 1.34 seeds/replicate and that of treated fresh seeds in 60°C water was 12.95 ± 1.24 seeds/replicate. Fresh seeds treated with 50°C and 70°C water had a significantly lower mean germination compared with untreated seeds and seeds treated in 60°C water. Mean T50 was lowest in seeds treated with 60°C water.
Duc Quang Nguyen
quangbio@vnu.edu.vn
Thi Phuong Hoa Phan
Van Tan Dao
2015-11-03T15:22:00Z
2015-11-03T15:24:53Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1298
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1298
2015-11-03T15:22:00Z
FastMG: a simple, fast, and accurate maximum likelihood procedure to estimate amino acid replacement rate matrices from large data sets
Amino acid replacement rate matrices are a crucial component of many protein analysis systems such as sequence similarity search, sequence alignment, and phylogenetic inference. Ideally, the rate matrix reflects the mutational behavior of the actual data under study; however, estimating amino acid replacement rate matrices requires large protein alignments and is computationally expensive and complex. As a compromise, sub-optimal pre-calculated generic matrices are typically used for protein-based phylogeny. Sequence availability has now grown to a point where problem-specific rate matrices can often be calculated if the computational cost can be controlled.
Cao Cuong Dang
Sy Vinh Le
vinhls@vnu.edu.vn
Oliver Gascuel
Bart Hazes
Si Quang Le
2015-11-03T11:16:48Z
2015-11-03T11:17:59Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/785
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/785
2015-11-03T11:16:48Z
A Technique for Extracting Behavioral Sequence Patterns from GPS Recorded Data
Thi Hong Nhan Vu
Yang Koo Lee
The Duy Bui
duybt@vnu.edu.vn
2015-08-31T07:45:11Z
2015-08-31T07:45:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/777
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/777
2015-08-31T07:45:11Z
D-AVTree: A DHT-based Search System Supporting Scalable Multi-Attribute Query
Hoai-Son Nguyen
sonnh@vnu.edu.vn
Yasuo Tan
2015-08-12T09:53:14Z
2017-01-02T10:26:46Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1323
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1323
2015-08-12T09:53:14Z
Constrained Optimum Design of 3-DOF Microaccelerometers
Duc Tan Tran
tantd@vnu.edu.vn
Huu Tue Huynh
S. Roy
D.V. Dao
2015-08-03T10:04:59Z
2016-08-09T03:13:11Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1205
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1205
2015-08-03T10:04:59Z
A printed circuit board capacitive sensor for air bubble inside fluidic flow detection
This paper presents a three-electrode capacitive fluidic sensor for detecting an air bubble inside a fluidic channel such as blood vessels, oil or medical liquid channels. The capacitor is designed and fabricated based on a printed circuit board (PCB). The electrodes are fabricated by using copper via structure through top to bottom surface of the PCB. A plastic pipe is layout through the capacitive sensor and perpendicular to the PCB surface. Capacitance of sensor changes when an air bubble inside fluidic flow cross the sensor. The capacitance change can be monitored by using a differential capacitive amplifier, a lock-in amplifier, filter and an NI acquisition card. Signal is processed and calculated on a computer. Air bubble inside the liquid flow are detected by monitor the unbalance signal between the three electrode potential voltages. Output voltage depends on the volume of the air bubble due to dielectric change between capacitor’s electrodes. Output voltage is up to 53 mV when an 2.28 mm3 air bubble crosses the sensing channel. Air bubble velocity can be estimated based on the output pulse signal. This proposed fluidic sensor can be used for void fraction detection in medical devices and systems; fluidic characterization; and water–gas, oil–water and oil–water–gas multiphase flows in petroleum technology. That structure also can apply to the micro-size for detecting in microfluidic to monitor and control changes in microfluidic channels
Quoc T Vu
Dac H Nguyen
Quoc T Pham
Dinh Duc Nguyen
ducnd@vnu.edu.vn
Duc Trinh Chu
trinhcd@vnu.edu.vn
2015-07-09T14:53:50Z
2015-07-09T14:53:50Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1180
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1180
2015-07-09T14:53:50Z
An Efficient Framework for Extracting Parallel Sentences from Non-Parallel Corpora
Cuong Hoang
Anh Cuong Le
cuongla@vnu.edu.vn
Phuong Thai Nguyen
thainp@vnu.edu.vn
Bao Son Pham
sonpb@vnu.edu.vn
Tu Bao Ho
2015-07-09T14:44:55Z
2015-11-03T15:01:30Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1183
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/1183
2015-07-09T14:44:55Z
Feasibility of Detecting Prostate Cancer by Ultraperformance Liquid Chromatography-Mass Spectrometry Serum Metabolomics
Xiaoling Zang
Jones Christina M.
Quoc Long Tran
longtq@vnu.edu.vn
Eugenia Monge Maria
Manshui Zhou
DeEtte Walker L.
Mezencev Roman
Gray Alexander
McDonald John F.
Fernández Facundo M.
2013-12-31T10:20:56Z
2017-12-12T13:58:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/221
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/221
2013-12-31T10:20:56Z
Electrothermal Microgripper With Large Jaw Displacement and Integrated Force Sensors
The novel design of a sensing microgripper based on silicon-polymer electrothermal actuators and piezoresistive force-sensing cantilever beams is presented. The actuator consists of a silicon comb structure with an aluminum heater on top and filled polymer in between the comb fingers. The sensor consists of a silicon cantilever with sensing piezoresistors on top. A microgripper jaw displacement up to 32 mum at a 4.5-V applied voltage is measured. The maximum average temperature change is 176 \textsuperscriptdegC. The output voltage of the piezoresistive sensing cantilever is up to 49 mV at the maximum jaw displacement. The measured force sensitivity is up to 1.7 V/N with a corresponding displacement sensitivity of 1.5 kV/m. Minimum detectable displacement of 1 nm and minimum detectable force of 770 nN are estimated. This sensing microgripper can potentially be used in automatic manipulation systems in microassembly and microrobotics. 2008-0064.
Duc-Trinh Chu
trinhcd@vnu.edu.vn
Gih-Keong Lau
J. F. Creemer
P. M. Sarro
2013-12-31T10:17:33Z
2017-12-12T13:58:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/204
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/204
2013-12-31T10:17:33Z
Polymer Constraint Effect for Electrothermal Bimorph Microactuators
The authors report on the analysis of the polymer constraint effect and its use for a micromachined electrothermal bimorph actuator. The actuated displacement is enhanced due to the polymer constraint effect. Both the thermal expansion and apparent Young #x2019;s modulus of the constrained polymer blocks are significantly improved, compared with the no constraint case. The calculation that agrees well with experimental results provides the means to optimize the design of the constrained polymer stack electrothermal microactuator.
Duc-Trinh Chu
trinhcd@vnu.edu.vn
G. K. Lau
P. M. Sarro
2013-12-31T10:11:25Z
2013-12-31T10:11:25Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/209
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/209
2013-12-31T10:11:25Z
Micro/nano-Mechanical Sensors and Actuators based on SOI-MEMS Technology
MEMS (micro-electro-mechanical systems) technology has undergone almost 40 years of development, with significant technology advancement and successful commercialization of single-functional MEMS devices, such as pressure sensors, accelerometers, gyroscopes, microphones, micro-mirrors, etc. In this context of MEMS technology, this paper introduces our studies and developments of novel micro/nano-mechanical sensors and actuators based on silicon- on-insulator (SOI)-MEMS technology, as well as fundamental research on piezoresistive effects in single-crystal silicon nanowires (SiNWs). In the first area, novel mechanical sensors, such as 6-DOF micro-force moment sensors, multi-axis inertial sensors and micro-electrostatic actuators developed with SOI-MEMS technology will be presented. In the second area, we have combined atomic-level simulation and experimental evaluation methods to explain the giant piezoresistive effect in single crystalline SiNWs along different crystallographic orientations. This discovery is significant for developing more highly sensitive and miniaturized mechanical sensors in the near future.
Dzung Viet Dao
Koichi Nakamura
Bui Thanh Tung
tungbt@vnu.edu.vn
Susumu Sugiyama
2013-12-31T10:05:54Z
2015-11-12T07:51:02Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/201
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/201
2013-12-31T10:05:54Z
Recognizing Postures in Vietnamese Sign Language With MEMS Accelerometers
In this paper, we discuss the application of microelectronic mechanical system (MEMS) accelerometers for recognizing postures in Vietnamese Sign Language (VSL). We develop a similar device to the Accele Glove for the recognition of VSL. In addition to the five sensors as in the Accele Glove, we placed one more sensor on the back of the hand to improve the recognition process. In addition, we use a completely different method for the classification process leading to very promising results. This paper concentrates on signing with postures, in which the user spells each word with finger signs corresponding to the letters of the alphabet. Therefore, we focus on the recognition of postures that represent the 23 Vietnamese-based letters together with two postures for ``space'' and ``punctuation''. The data obtained from the sensing device is transformed to relative angles between fingers and the palm. Each character is recognized by a fuzzy rule-based classification system, which allows the concept of vagueness in recognition. In addition, a set of Vietnamese spelling rules has been applied to improve the classification results. The recognition rate is high even when the postures are not performed perfectly, e.g., the finger is not bended completely or the palm is not straight
The Duy Bui
duybt@vnu.edu.vn
Thang Long Nguyen
longnt@vnu.edu.vn
2013-12-25T08:23:34Z
2013-12-25T08:23:34Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/197
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/197
2013-12-25T08:23:34Z
Strain Sensitive Effect in a Triangular Lattice Photonic Crystal Hole-modified Nanocavity
Bui Thanh Tung
tungbt@vnu.edu.vn
D.V. Dao
2013-12-25T08:18:01Z
2013-12-25T08:18:01Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/212
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/212
2013-12-25T08:18:01Z
Integrated CNTs Thin Film for MEMS Mechanical Sensors
Van Thanh Dau
Takeo Yamada
Dzung Viet Dao
Bui Thanh Tung
tungbt@vnu.edu.vn
Kenji Hata
Susumu Sugiyama
2013-08-23T02:50:18Z
2015-01-22T07:08:27Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/189
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/189
2013-08-23T02:50:18Z
Parallelization of the Fast Multipole Method for Molecular Dynamics Simulations on Multicore Computers
Hai Chau Nguyen
chaunh@vnu.edu.vn
2013-08-23T02:36:33Z
2017-01-13T02:19:55Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/188
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/188
2013-08-23T02:36:33Z
Mining Phenotype Candidates from the Scientific Literature with Diverse Hypothesis Resolution Strategies
Nigel Collier
Mai Vu Tran
vutm@vnu.edu.vn
Hoang Quynh Le
lhquynh@gmail.com
Quang Thuy Ha
thuyhq@vnu.edu.vn
Anika Oellrich
Dietrich Rebholz-Schuhmann
2013-08-23T02:25:29Z
2013-08-23T02:25:29Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/186
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/186
2013-08-23T02:25:29Z
ACOHAP: An Efficient Ant Colony Optimization for the Haplotype Inference by Pure Parsimony Problem
Dong Do Duc
dongdd@vnu.edu.vn
Sy Vinh Le
vinhls@vnu.edu.vn
Xuan-Huan Hoang
huanhx@vnu.edu.vn
2013-06-29T03:13:02Z
2013-08-08T03:39:15Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/161
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/161
2013-06-29T03:13:02Z
Design of Three-Element Dynamic Vibration Absorber for Damped Linear Structures
D.A. Nguyen
N.X. Nguyen
Thai Hoa Le
thle@vnu.edu.vn
2013-06-29T03:04:57Z
2013-08-08T03:22:04Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/160
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/160
2013-06-29T03:04:57Z
Understanding of Unsteady Pressure Fields on Prism based on Covariance and Spectral Proper Orthogonal Decompositions
Thai Hoa Le
thle@vnu.edu.vn
Y. Tamura
M. Matsumoto
H. Shirato
2012-11-19T08:59:10Z
2016-05-28T09:52:32Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/69
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/69
2012-11-19T08:59:10Z
Modeling Protein Evolution with Several Amino Acid Replacement Matrices Depending on Site Rates
Most protein substitution models use a single amino acid replacement matrix summarizing the biochemical properties of amino acids. However, site evolution is highly heterogeneous and depends on many factors that influence the substitution patterns. In this paper, we investigate the use of different substitution matrices for different site evolutionary rates. Indeed, the variability of evolutionary rates corresponds to one of the most apparent heterogeneity factors among sites, and there is no reason to assume that the substitution patterns remain identical regardless of the evolutionary rate. We first introduce LG4M, which is composed of four matrices, each corresponding to one discrete gamma rate category (of four). These matrices differ in their amino acid equilibrium distributions and in their exchangeabilities, contrary to the standard gamma model where only the global rate differs from one category to another. Next, we present LG4X, which also uses four different matrices, but leaves aside the gamma distribution and follows a distribution-free scheme for the site rates. All these matrices are estimated from a very large alignment database, and our two models are tested using a large sample of independent alignments. Detailed analysis of resulting matrices and models shows the complexity of amino acid substitutions and the advantage of flexible models such as LG4M and LG4X. Both significantly outperform single-matrix models, providing gains of dozens to hundreds of log-likelihood units for most data sets. LG4X obtains substantial gains compared with LG4M, thanks to its distribution-free scheme for site rates. Since LG4M and LG4X display such advantages but require the same memory space and have comparable running times to standard models, we believe that LG4M and LG4X are relevant alternatives to single replacement matrices. Our models, data, and software are available from http://www.atgc-montpellier.fr/models/lg4x.
Si Quang Le
Cao Cuong Dang
Olivier Gascuel
2012-11-02T04:05:22Z
2017-01-17T02:18:07Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/46
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/46
2012-11-02T04:05:22Z
An Asynchronous Power Aware and Adaptive NoC Based Circuit
In complex embedded applications, optimisation and adaptation of both dynamic and leakage power have become an
issue at SoC grain. A fully power-aware globally-asynchronous locally-synchronous network-on-chip (NoC) circuit is presented in this paper. Network-on-chip architecture combined with a globally-asynchronous locally-synchronous paradigm is a natural enabler for DVFS mechanisms. The circuit is arranged around an
asynchronous network-on-chip providing scalable communication and a 17 Gb/s throughput while automatically reducing its power consumption by activity detection. Both dynamic and static power consumptions are globally reduced using adaptive design techniques applied locally for each synchronous NoC units. No fine control software is required during voltage and frequency scaling. Power control is localized and a minimal latency cost is observed.
Edith Beigne
Clermidy Fabien
Hélène Lhermet
Sylvain Miermont
Yvain Thonnart
Xuan Tu Tran
tutx@vnu.edu.vn
Alexandre Valentian
Didier Varreau
Pascal Vivet
Xavier Popon
Hugo Lebreton
2011-01-26T05:06:05Z
2017-01-17T02:17:47Z
http://eprints.uet.vnu.edu.vn/eprints/id/eprint/22
This item is in the repository with the URL: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/22
2011-01-26T05:06:05Z
Design-for-Test Approach of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and
Application
Asynchronous design offers an attractive solution to address the problems faced by networks-on-chip (NoC) designers such as timing constraints. Nevertheless, post-fabrication testing is a big challenge to bring the
asynchronous NoCs to the market because of a lack of testing methodology and support. This study first presents
the design and implementation of a design-for-test (DfT) architecture, which improves the testability of an asynchronous NoC architecture. Then, a simple method for generating test patterns for network routers is described. Test patterns are automatically generated by a custom program, given the network topology and the network size. Finally, we introduce a testing strategy for the whole asynchronous NoC. With the generated test patterns, the testing methodology presents high fault coverage (99.86%) for single stuck-at fault models.
Xuan Tu Tran
tutx@vnu.edu.vn
Yvain Thonnart
Jean Durupt
Vincent Beroulle
Chantal Robach