relation: https://eprints.uet.vnu.edu.vn/eprints/id/eprint/1580/ title: Copper-Filled Through-Silicon Vias with Parylene-HT Liner creator: Bui, Thanh Tung creator: Naoya, Watanabe creator: Xiaojin, Cheng creator: Fumiki, Kato creator: Katsuya, Kikuchi creator: Masahiro, Aoyagi subject: Electronics and Communications subject: Electronics and Computer Engineering description: Organic low-$k$ materials have been considered in the literature for satisfying the requirements of lowering the dielectric constant of the dielectric layer to decrease the problem of signal delay, lower power consumption, and to reduce cross talk between the neighboring paths, and lowering the fabrication temperature budget. In this paper, the feasibility of using Parylene-HT as a low-temperature deposition, high-uniformity coverage low-dielectric liner for copper-filled through-silicon vias (TSVs) in 3-D integration is investigated. In particular, the capability of embedding Parylene-HT in via-last fabrication process is validated through the demonstration of 100-μ m-depth bottom-up copper-filled TSVs. TSVs with Parylene-HT as a liner were realized through vias etching, parylene vapor deposition, and copper electroplating processes. The Parylene-HT deposition and copper electroplating processes were implemented at room temperature, such that thermal-related issue would be avoided and device reliability would be enhanced. The insulation function of the Parylene-HT liner of the fabricated TSVs was characterized. Capacitance of 0.164 pF/TSV and leakage current density of 22 pA/cm2 at a field of 0.25 MV/cm were obtained through the measurement of the TSV arrays. The obtained results reveal the possibility of using such a high potential parylene in low-temperature budget 3-D integration applications. publisher: IEEE date: 2016-04-01 type: Article type: PeerReviewed format: application/pdf language: en identifier: https://eprints.uet.vnu.edu.vn/eprints/id/eprint/1580/3/Abstract%20%283%29.pdf identifier: Bui, Thanh Tung and Naoya, Watanabe and Xiaojin, Cheng and Fumiki, Kato and Katsuya, Kikuchi and Masahiro, Aoyagi (2016) Copper-Filled Through-Silicon Vias with Parylene-HT Liner. IEEE Transactions on Components, Packaging, and Manufacturing Technology, 6 (4). pp. 510-517. ISSN 2156-3950 relation: http://ieeexplore.ieee.org/xpl/aboutJournal.jsp?punumber=5503870#AimsScope