VNU-UET Repository

Thermal distribution and reliability prediction for 3D Networks-on-Chip

Dang, Nam Khanh and Ahmed, Akram Ben and Abdallah, Abderazek Ben and Tran, Xuan Tu (2020) Thermal distribution and reliability prediction for 3D Networks-on-Chip. VNU Journal of Computer Science and Communication Engineering, 36 (1). pp. 65-77. ISSN 0866-8612

This is the latest version of this item.

[img]
Preview
PDF
Download (1MB) | Preview

Abstract

As one of the most promising technologies to reduce footprint, power consumption and wire latency, Three Dimensional Integrated Circuits (3D-ICs) is considered as the near future for VLSI system. Combining with the Network-on-Chip infrastructure to obtain 3D Networks-on-Chip (3D-NoCs), the new on-chip communication paradigm brings several advantages. However, thermal dissipation is one of the most critical challenges for 3D-ICs, where the heat cannot easily transfer through several layers of silicon. Consequently, the high-temperature area also confronts the reliability threat as the Mean Time to Failure (MTTF) decreases exponentially with the operating temperature as in Black’s model. Apparently, 3D-NoCs and 3D ICs must tackle this fundamental problem in order to be widely used. However, the thermal analyses usually require complicated simulation and might cost an enormous execution time. As a closed-loop design flow, designers may take several times to optimize their designs which significantly increase the thermal analyzing time. Furthermore, reliability prediction also requires both completed design and thermal prediction, and designer can use the result as a feedback for their optimization. As we can observe two big gaps in the design flow, it is difficult to obtain both of them which put 3D-NoCs under thermal throttling and reliability threats. Therefore, in this work, we investigate the thermal distribution and reliability prediction of 3D-NoCs. We first present a new method to help simulate the temperature (both steady and transient) using traffic values from realistic and synthetic benchmarks and the power consumption from standard VLSI design flow. Then, based on the proposed method, we further predict the relative reliability between different parts of the network. Experimental results show that the method has an extremely fast execution time in comparison to the acceleration lifetime test. Furthermore, we compare the thermal behavior and reliability between Monolithic design and TSV-based design. We also explore the ability to implement the thermal via a mechanism to help reduce the operating temperature.

Item Type: Article
Subjects: Electronics and Communications
Electronics and Communications > Electronics and Computer Engineering
Divisions: Key Laboratory for Smart Integrated Systems (SISLAB)
Depositing User: Khanh N. Dang
Date Deposited: 13 Jul 2020 10:10
Last Modified: 13 Jul 2020 10:10
URI: http://eprints.uet.vnu.edu.vn/eprints/id/eprint/3969

Available Versions of this Item

Actions (login required)

View Item View Item